Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by xihushui

  1. X

    How to make modelsim to take the ini file located in work directory

    you can put you new ini file into the project folder
  2. X

    [SOLVED] question about the MMU

    You know, when the user ask the MMU for address, the MMU give the user it if MMU have enough address. If the MMU give the user the same address for some reasom, eg, the MMU give user address addr1、 addr2、addr4、addr8、addr2,It's critical to the user. the same question, the user maybe release the...
  3. X

    warnin 3407 in verilog code

    the memory you define to store the data is too small. confirm the space of memory is equal or bigger to the data in ME.txt
  4. X

    about TOE design - FPGA implementation of TCP/IP

    about TOE design i want to use FPGA to implement TCP/IP,i have some trouble: 1:what's function should i do? 2:because i am not be familiar with the TCP/IP,so how can i knowledge the specification of it ,is there any document about TOE?thank you
  5. X

    how to simulate my coregen in modelsim?

    reg xilinx coregen you use the file of .v generated by coregen as the file yours, of course you should add the liberary file of xilinx in you design.the path of liberar file is the paths of your ISE installed.
  6. X

    Looking for information about HDLC protocol

    about HDLC https://www.acacia-net.com/wwwcla/protocol/iso_4335.htm#I%20format i can't open this link,could anybody give me some other information?
  7. X

    Looking for information about HDLC protocol

    anybody know HDLC potrocol??i wanted to do it by verilog,can you kind enough to give me some information about it? now i can't down the protocol about it,or giving me somg suggestion about it thanks
  8. X

    Digital Circuit Design Book Recommendation

    in the books upload/download section,you can find a lot of good book , i think it's useful to you,good luck
  9. X

    synchronous reset (verilog)

    try this code always@(posedge sys_clk_25m) begin if(!syn_rst_n) begin toggle_bit<=1'b0; end else if(!rtl8305_mtxen) begin toggle_bit<=1'b0; end else begin toggle_bit<=~toggle_bit; end end
  10. X

    web about digital communication

    hi ,can you tell me some website about digital communication?i need some books,some informations and so on.
  11. X

    study digital communication

    free download digital communication- simon haykin who can give me the link about Digital Communications by John Proakis,thanks Added after 1 minutes: i can't open the third link.. i can't download from the first and the second link,why??puzzled
  12. X

    study digital communication

    digital communication sklar free download hi ,i want to study the digital communication. but i am a free . i don't know what should i study ,please tell me what should i do ?or what acknowledge should i study first? giving some information about it is ok!
  13. X

    What does 256 * 2 Mux 8 mean?

    256 * 2 Mux 8??? i think the memory has 256*2 units,every units is 8 bit
  14. X

    How to make Latch from Flip Flop ?

    Latch from Flip Flop agree with windzjy Flip Flop contain some latchs.latch is a basic unit.it is more basic with FF.
  15. X

    who can give me information about the digital filter in AD?

    digital filter in AD who can give me some information about the digital filter in ∑-Δ AD or other AD ?which parameters should i consider? or the steps should i do ?

Part and Inventory Search

Back
Top