Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vishy71

  1. vishy71

    [SOLVED] problem in RS232 vhdl code

    but it works :).you right but ISE will append missed signals to process ;-) a have changed it but it was my first code for usart which works good.
  2. vishy71

    USB with MLA on PIC18F4550

    Thanks but I need to use MLA.
  3. vishy71

    [SOLVED] AD7190 registers offset and full-scale

    thank you very much :)
  4. vishy71

    USB with MLA on PIC18F4550

    Hi. I need transfer some data between PC and PIC18F4550 via USB.I have MLA and read a lot of examples but I can't do any thing.please help me.is there any body who can tell me what I must to do step by step?I really need it! thanks
  5. vishy71

    [SOLVED] AD7190 registers offset and full-scale

    Hi. please,can you say what is OFFSET and Full-Scale register in AD7190? thanks.
  6. vishy71

    [SOLVED] problem in RS232 vhdl code

    I solve it.thanks. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity rcv is port(din,clk:in std_logic;dout:out std_logic_vector(7 downto 0);ready:out std_logic); end entity; architecture arch_rcv of rcv is type rcv_state is (idle,start,get,wt,stop); signal...
  7. vishy71

    [SOLVED] problem in RS232 vhdl code

    thanks.let me try it :) - - - Updated - - - whats wrong! it dose not fill dout!!!! library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity rcv is port(din,clk:in std_logic;dout:out std_logic_vector(7 downto 0);ready:out std_logic); end entity; architecture arch_rcv of...
  8. vishy71

    [SOLVED] problem in RS232 vhdl code

    Hi.I am so sorry because of my poor English speaking! I am trying to write a simple code in VHDL for RS232 to receive data through a wire as you know.here is my code! something is wrong! please help me to solve this challenge!thanks :) as you can see,bit_cnt pulsed twice!!!!!why? and number...
  9. vishy71

    [SOLVED] I2C in PCW for PIC16f877A

    thanks everybody :)
  10. vishy71

    [SOLVED] I2C in PCW for PIC16f877A

    no i mean i wanna learn both of them.not them at one time
  11. vishy71

    [SOLVED] I2C in PCW for PIC16f877A

    can you help me to use both of them? I2C and SPI please tell me what i must to do step by step please
  12. vishy71

    [SOLVED] I2C in PCW for PIC16f877A

    Hi I wanna use AT24C1024 in my project.but I can't understand what I must to do! I am also programming with PICC PCW I am using PIC16F877A. can you help me please? thanks
  13. vishy71

    [SOLVED] Invertor for controling AC motors

    I tried PWM without using any RC filter! it works but you must connect a resistor between youre inverter ground and voltage pin because of noises which have voltages and they will effect on youre voltage and the motor will orbit in max speed. thanks for youre helps.
  14. vishy71

    [SOLVED] Invertor for controling AC motors

    6000Hz or 8000Hz how can I use voltage follower?
  15. vishy71

    [SOLVED] Invertor for controling AC motors

    tanks. I must use 270ohm and .1uf . are you sure it will be answer?

Part and Inventory Search

Back
Top