Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vgs

  1. V

    Display an image on VGA monitor through nexys2 board using EDK software

    Dear nenavath How can we dump this coe file value into fpga to display this on the VGA port.please help me.thanks in advance Thanks and Regards vgs
  2. V

    Display an image on VGA monitor through nexys2 board using EDK software

    Hi, Have you resolved this issue? could you please help me.I don't know how to connect the Rom block containing the .coe file to EDK. Kindly help me.Thanks in advance thanks and Regards vgs
  3. V

    problem in addind an IP to EDK

    hi all, can anyone please help me? I'm trying to add a custom IP to the EDK.Its just a comparison program, i.e., to compare the input with four values stored as four different signals and if the input is similar to any one of the input, then give the output as 00 or 01 or 10 or 11. this is the...
  4. V

    EDK-VGA interface through SPARTAN 3e starter kit using EDK 9.2i

    hi, please help me if you have time. I'm trying to add a custom IP to the EDK.Its just a comparison program, i.e., to compare the input with four values stored as four different signals and if the input is similar to any one of the input, then give the output as 00 or 01 or 10 or 11. this is the...
  5. V

    Display an image on VGA monitor through nexys2 board using EDK software

    Thank you for your reply I've done the conversion section,ie I've converted the jpeg image in matlab to a coe file.Can you please help me in initialising the vga peripherals? Kindly help me
  6. V

    EDK-VGA interface through SPARTAN 3e starter kit using EDK 9.2i

    Thank you for your reply. I'm doing an object recognition system based on Neural Network using EDK. I've completed the Neural Network section and added it as a custom IP in the Microblaze structure.The output of recognition process is 00,01,10 and 11 for the four different shapes.As a demo I...
  7. V

    EDK-VGA interface through SPARTAN 3e starter kit using EDK 9.2i

    thank you for giving me the link. But in that one its not explained how it can be done. Can you please help me to do this. Thank you for your reply Thanks and Regards vgs
  8. V

    Display an image on VGA monitor through nexys2 board using EDK software

    Hi, Can you please help me to achieve this.How you did this? I'm using Spartan3e starter kit and EDK 9.2i.I need to display any of the four shapes(rectangle,square,triangle and circle) through VGA port depending upon the output. Kindly help me. Thanks in advance Regards vgs
  9. V

    EDK-VGA interface through SPARTAN 3e starter kit using EDK 9.2i

    Hai everybody, Could anyone please help me to display an image in the VGA port using Spartan 3e starter kit using EDK 9.2i. Kindly help me Thanks in advance
  10. V

    system generator13.1 and matlab2009b

    I'm using Xilinx 13.1 evaluation version.I'm trying to generate a VHDL code for the chain code algorithm written in matlab. **Error in port widths or dimensions. Output port 1 of 'untitled/Image From Workspace' is a [125x125] matrix **Error in port widths or dimensions. Input port 1 of...
  11. V

    simulate floating point cores in xilinx ise 9.21

    Initially the problem was that the modelsim couldn't give any results.now i'm getting some results.but i'm confused with the floating point arithmetic.can you help me in that?how to convert integer numbers to floating point numbers(32 bit single)
  12. V

    simulate floating point cores in xilinx ise 9.21

    Can anyone please help me to simulate the floating point cores in xilinx ise 9.2i using modelsim pe 10.c please reply thanks in advance
  13. V

    Edk for image preprocessing

    Can anybody please help me with the EDK tutorials for image preprocessing using MICROBLAZE(the soft core processor in spartan 3e starter kit).I need help for obtaining the chain code sequence of an image downloaded into FPGA and give this sequence as an input to the neural network implemented as...
  14. V

    Image processing using VHDL

    Re: image processing use vhdl Can you please send me the vhdl codes for image processing? I'm using the spartan 3E starter kit and ISE 9.2i ---------- Post added at 19:47 ---------- Previous post was at 19:41 ---------- I've downloaded the image in spartan 3E starter kit and i can retrieve...
  15. V

    Chain code algorithm in VHDL

    How to use the 4-connected chain code algorithm to obtain the edge of an image that is downloaded in spartan3E starter kit

Part and Inventory Search

Back
Top