Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by tukken

  1. T

    Duty cycle correction.

    30%,the duty cyle is 30%
  2. T

    How to merger 2 vcd file

    vcd2fsdb Thanks. How about verdi, nawaves
  3. T

    How to merger 2 vcd file

    vcd merger I have 2 vcd file, and 1 is for top level, and other is a sub block vcd file, how to merger 2 files into 1 vcd file. Thanks.
  4. T

    PT write SDF file, same Spef file , different uncertaince

    Thanks, I'd like to know if we could get same timing check in SDF file with different clock uncertaince setting.
  5. T

    PT write SDF file, same Spef file , different uncertaince

    spef sdf pt Hi, need your help. When PT write SDF file, If we have same spef file, same library, but we set different clock uncertaince, do we get same SDF file? I want to know, if we set different clock uncertaince, the timing check of DFF will be different or not. Thank.
  6. T

    How is ECO related to VLSI?

    ECO No, You need to evaluate your situation and current stage, If there are many violation in your after CTS netlist, it's need tools to fix the violaiton.
  7. T

    Shift from SW to Chip designing

    No need for quit, just reading some book, but in my experience, you 'd better to learn the basic CMOS circuit, it help you to design the hight performance circuit.
  8. T

    Design Compiler Tutorial (2000) by Synopsys

    DC tutorial It could be found in SOLD, ft
  9. T

    clock detector for my senior project

    verilog clock detector a reference clock is required
  10. T

    How to detect the second one in a serial bit stream

    1. We consider the 4bit situation and get the truth table Define, Input datain [3:0]; Output P2nd [1:0]; //If exist second 1, the position of second 1 Output P1st [1:0]; // the position of first 1 Output exist; //Second 1 exist Output only1; //Only 1 exist Truth table...
  11. T

    timing related --query

    If after P&R, you need ECO, for small slack, I think you could select a delay version clock for the catch register.
  12. T

    How to detect the second one in a serial bit stream

    Your SPEC maybe 1. Input 32 bit data 2. Detect if there exist second "1" bit ? 3. Detect the position of second "1" bit If the timing not very tight, your could use a CSA array to detect it. If the Sum of all 32 bit > 1, then ther must exist second "1" bit.
  13. T

    NC-Verilog post-simulation problem

    *e,cuvmur check if you include your library path
  14. T

    critical path minimisation

    Yes, pipeline is a choice, or you could imply a parrel full adder

Part and Inventory Search

Back
Top