Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by taepyeong

  1. T

    Looking for digital ic design job for freelancer.

    I have a digital ic deaign experience around 12 years include graduated school. I worked 8 years world No. 1 semiconductor company. possible 1. RTL design , Synthesis, STA and p&r by synopsys tool 2. Design a testchip for ip verification.( IO, i2c, spi interface) 3. Digital logic in Analog...
  2. T

    set_input_delay dc vs pt

    I used that constraint in DC. And I extracted sdc from DC. ------------------------------------ set_input_delay -clock U_DIGITAL_DUALEDGE_TOP/I_CLK -min 1 [get_pins U_DIGITAL_DUALEDGE_TOP/I_RISE] ------------------------------------- And it works at Primetime. Anyone know?
  3. T

    set_input_delay dc vs pt

    Hello I am synthesis chip. When I use dc, I used this constraint. ------------------------- set_input_delay -min 1 [get_ports U_DIGITAL_DUALEDGE_TOP/I_RISE] -clock U_DIGITAL_DUALEDGE_TOP/I_CLK -------------------------- but when I use thay constraint in primetime. I got this message...

Part and Inventory Search

Back
Top