Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sanju_

  1. sanju_

    Best VLSI Job websites

    Hi, https://jobs.siliconindia.com You need to update twice a day in all website if you are actively looking for a job. morning before 9 and afternoon around 2/3 daily.
  2. sanju_

    Best VLSI Job websites

    Hi, look in monster jobs www.monsterindia.com best for vlsi
  3. sanju_

    Verilog HDL - Book Recommendations

    Principles of VLSI RTL Design A Practical Guide by Sanjay Churiwala -- > Advance level Ensuring RTL intent Creating simulation-friendly RTL Creating timing-analysis-friendly RTL Creating clock-domain-crossing (CDC) RTL Creating power-friendly RTL Creating DFT-friendly RTL Creating...
  4. sanju_

    FIFO depth for async FIFO

    https://www.fullchipdesign.com/fifo_depth_formula_calculation.htm
  5. sanju_

    various error in programming with vhdl

    Hi, In addition to FvM suggestion Remove twice declared CS in signals(remove one cs ) signal da,cs : std_logic_vector(31 downto 0); signal cs,m3 : std_logic_vector(31 downto 0); and add encdec signal
  6. sanju_

    about mini project

    fpga4fun.com - Welcome front end
  7. sanju_

    difference between VHDL and verilog HDL

    try googling you will get lot of answers.. some of them i know is for beginners verilog is easier than vhdl. in verilog no library is attached but in vhdl you need to attach library. Hdl Programming Vhdl And Verilog - Nazeih M. Botros - Google Books ---> refer page 32
  8. sanju_

    wipro VLSI job suggestion

    still now no one sent out...
  9. sanju_

    Verilog FAQ

    i got job on electronics because of this site... all my interview questions on this site itself... :)
  10. sanju_

    All about VLSI Physical Design Stuff.

    **broken link removed**
  11. sanju_

    fsm states not displaying

    Hi, define clock in your test bench and try... no clock is running so it wont see the rising_edge(clk)..
  12. sanju_

    wipro VLSI job suggestion

    Hi, you will be getting around 17k-18k in hand's at starting to end of year increments calculated according to performance, i have seen 4-5 people getting 30% of their normal salary in wipro vlsi
  13. sanju_

    Basics of VHDL programming

    https://www.edaboard.com/threads/19712/ **broken link removed**

Part and Inventory Search

Back
Top