Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Sam Cristtina

  1. S

    [General] Embedded C programme

    I know which i have written is generating error, but i want to know that is there any method to add two constant array elements in one constant array?
  2. S

    [General] Embedded C programme

    I know this but is it possible which i have writen next by using preprocessor instruction? constant unsigned int array1[5] = {1,2,3,4}; constant unsigned int array2[5] = {5,6,7,8}; constant unsigned int array3[10] = array1 + array2 // array3[10] = {1,2,3,4,5,6,7,8}
  3. S

    [General] Embedded C programme

    Hi, I'm trying to embedded two array. I have constant unsigned int array with 50 elements named array1[50] = {1,2,3...49,50}; and In second constant unsigned int array with 50 elements named array2[50] = {51,52,53...100}; Now I want to embedded this second array2 to array1. so i have changed...
  4. S

    Self tuning PI iplementation in C code

    Self tuning PI implementation in C code Hi, I want to implement self tuning of PI. I had try Fuzzy self tuning PI but it had covered to much bandwidth(40us, 25 fuzzy rules). In my programme, I'm using with 8 PI, so fuzzy implementation for self tuning of PI is not possible. anyone has C code...
  5. S

    Verilog-Delay not generate

    Hi,I'm newbe in verilog using with spartan-xilinx, and want to gererates 2ns delay between two state(on or off) of i/o line. always @ (posedge clk) begin temp = 1'b1;//output wire #2; // delay. wait for 2ns temp = 1'b0;//output wire #2; // delay end This is generate...
  6. S

    Problem with RTC time- Calibration

    Ok.. Thanks for inform me..
  7. S

    Problem with RTC time- Calibration

    Not need to connect capacitar because when i use battery than not need to connect load capacitor as per datasheet of bq32000. so another way to get perfact time without calibration?
  8. S

    Problem with RTC time- Calibration

    Thanks for help...
  9. S

    Problem with RTC time- Calibration

    Yes,u r right. my RTC has a feature of a clock output and using that i have calibrated the RTC and get perfect time. But i think another way to get perfect time using tight tolerance crystal. so no need to calibration RTC. Which is better way that calibrate the RTC or use tight tolerance crystal?
  10. S

    Problem with RTC time- Calibration

    I'm using with RTC. I have some problem with it. my clock is slowing with 1.768sec/Day. I'm using with 32768Hz crystal with -20 to +20 PPM. I Have solved it using calibration register. but it is difficult to calibrate all RTC (I have 5 RTC)using Calibration register. So I like to ask u that what...
  11. S

    LCD interfacing with FPGA using SPI

    I have done this all using C language with controller and i also display character using controller so I know about command and data. but now i want to move my Graphics LCD fuction on FPGA in verilog code. is it possible to make a constant array from which i locate hex value for displaying...
  12. S

    LCD interfacing with FPGA using SPI

    Hello sir, I'm new in verilog. I want to displaying character on LCD. I have done in C language using controller. Now i want to move displaying function on FPGA. Can any one help me for displaying character on LCD with verilog using SPI? My code is given in attechment. from attechment u think...
  13. S

    FPGA use for SPI interfacing -Verilog

    thanks for quick reply, I will try this code..
  14. S

    FPGA use for SPI interfacing -Verilog

    Thanks for help, I have create an account but It will take a time for one to two days. So plase, can u give me verilog code for FPGA as Master SPI and tansmitting and receiving data from slave?
  15. S

    FPGA use for SPI interfacing -Verilog

    Hi.. I'm new in verilog. I want to use FPGA as SPI master. SPI master send and Receive data from Slave. Can any one give me code for this reeiveng and transmitting function or some helpfull instruction? Thanks in advance,

Part and Inventory Search

Back
Top