Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ring0

  1. R

    A new open-source IP core configuration system

    Hi permute, thank you for your reply. 1) As the matter of fact, { token can be used without backslash, but } indeed cannot. As TML was designed to be language-neutral, some kind of escape sequences is unavoidable. Maybe an approach similar to Lua long brackets can help? I.e. modify the parser...
  2. R

    A new open-source IP core configuration system

    Hello, I have developed a set of open-source applications that can be used to create configurable Verilog/VHDL IP cores with graphical confuguration interfaces. It includes a preprocessor that can recognize control tags inserted in the Verilog/VHDL (or other) source code. Control tags can be...
  3. R

    difference between servo motor and 3-phase synchronous motor

    Re: difference between servo motor and 3-phase synchronous m Thank you sunderwood, I was just thinking the same thing, but I needed someone to confirm it.
  4. R

    difference between servo motor and 3-phase synchronous motor

    Servo motor question I have very little experience with motors yet, so the question may seem stupid, but... what is the difference between a servo motor and an ordinary 3-phase synchronous motor? I mean, can I control the latter using an appropriate frequency transformer and encoder as if were...
  5. R

    An ARM7v4 IP Core. Does anyone need it ?

    arm7 ipcore Hi mathswork, the diagram file in jpg format that you supplied seems to be truncated. Or may be only I experience such a bug?
  6. R

    A high-end vector signal generator for a fraction of price

    A high-end vector signal generator for just a fraction of price.
  7. R

    Plz some one slove this problem

    Can you post declarations of your signals?
  8. R

    error when synchronizing a asynchronical signal

    Is the input signal changed simultaneously with the clock signal in your testbench? Synchronizing registers are useful when running design in hardware, but during simulation such thing won't help you. So maybe you shouldn't bother yourself with this problem.
  9. R

    NEED help in BPSK and BFSK demodulator

    Maybe good starting points are "Digital Communications" by Proakis and "Digital Communications: Fundamentals and Applications" by Sklar.
  10. R

    vhdl - what is the meaning of generic to an vhdl's entity

    Re: vhdl_quenstion This is a parameter which can alter entity contents at the time of synthesis. Consider this small code: library IEEE; use IEEE.STD_LOGIC_1164.all; entity csa is generic ( N: integer:=32 ); port( A : in STD_LOGIC_VECTOR(N-1 downto 0); B : in STD_LOGIC_VECTOR(N-1...
  11. R

    Cant get one code line..

    The greatest bit of "address" will be 0, and the other bits will be equal to corresponding bits of "ir".
  12. R

    needed vhdl code for implementation of dynamic ram

    Re: needed vhdl code Do you really mean a dynamic RAM (implemented as a set of capacitors)? If so, I doubt that there can be any possible VHDL description of it.
  13. R

    Eb/No - Does increase in Eb/No help reduce ISI problem?

    Re: Eb/No Also, adaptive equalizers are used to combat channel-induced ISI. Increasing Eb/N0 alone won't solve the ISI problem.
  14. R

    Help required in removing errors in 128 bit buffer

    xilinx xst 2371 In FPGA you should use flip-flops instead of latches, i.e. use posedge or negedge in every synchronous process. Using latches in FPGA design can be considered bad design practice.
  15. R

    How to remove DC component from an audio signal?

    how to calculate dc component Why not calculate mean() of all 1024 samples and then subtract this value from every sample? (For real systems, some IIR of FIR integrator can be used instead of mean() )

Part and Inventory Search

Back
Top