Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by revolt

  1. R

    SPI interface in VHDL for nios

    spi interface vhdl What NIOS/Quartus version do u use? As far as I know is there an IP for a SPI in the SOPC availiable. Kind regards revolt
  2. R

    how to realize a SPI interface with VHDL?

    spi vhdl code Look at www.Xilinx.com they have a SPI reference design for their CPLDs in VHDL: https://www.xilinx.com/products/silicon_solutions/cplds/coolrunner_series/coolrunner_ii_cplds/resources/coolrunner_reference_designs.htm
  3. R

    Modifing MPEG PAT/PMT tables

    pat mpeg Hi guys, at the moment I'm building a transport stream filter for DVB-S. When I filter one program out of the stream my0 MPEG-player says that I is not a valid MPEG2 stream because in the PAT are still several programs announced. So I have to modify the PAT and that is where have my...
  4. R

    hardware testing using xilinx spartan 3 board

    okay, I think now I understand it. U can find a short tutorial here: **broken link removed** Maybe u can also perform a P&R simulation for the first test. For a complete test of your FIFOs in HW I would use the UART. More constraining infomation: **broken link removed**
  5. R

    hardware testing using xilinx spartan 3 board

    Did u infer your FIFO or did u use a VHDL Instantiation Template? If u use the second u can specify the initial content if the memory: **broken link removed** Also useful: **broken link removed** and https://www.xilinx.com/bvdocs/appnotes/xapp463.pdf
  6. R

    Xilinx XC3S200PQ208 Demo board

    Hi, goto to the Xilinx hp: https://www.xilinx.com/products/spartan3/s3boards.htm The user guide for the Xilinx Starter Kit contains the complete schematic of the board. The layout can be downloaded as Gerber files.
  7. R

    how to realize 3-state control,if FPGA are bus master.

    Sorry, I don't have a sample for flash devices. U can search examples for aync. SRAM devices they hava a simliar interface.
  8. R

    Avr programmer for parallel port

    parallel avr programmer Another schematic: https://www.olimex.com/dev/images/avr-pg2b-sch.gif Works with Ponyprog.
  9. R

    how to realize 3-state control,if FPGA are bus master.

    hi, U don't say what language u are using. My example is in VHDL. I hope it helps u. flashIO<=flash_data when write_en='1' else (others=>'z'); flashIO is an input/output dataport to the flash. When the signal write_en='1' the flash_data appears at the output. during write_en='0' flash_IO is...
  10. R

    3.3V, 2.5V and 1.2V power supply generation for Spartan 3

    3.3V, 2.5V and 1.8V Take a look at the schematics from the Spartan 3 Starter Kit from Xilinx: https://www.xilinx.com/products/spartan3/boards/s3_board_sch.pdf
  11. R

    CPLD board pin problems (Xilinx Webpack 7.1 and VHDL)

    CPLD pin problem I checked it on the board
  12. R

    problem with NIOS II IDE.

    Visit www.niosforum.com maybe somebody has or had the same problem.
  13. R

    CPLD board pin problems (Xilinx Webpack 7.1 and VHDL)

    CPLD pin problem LED0 is a std_logic type. I always use <='0' for std_logic and never had a problem with it. Is your code for verilog? in my VHDL it doesn't work.
  14. R

    CPLD board pin problems (Xilinx Webpack 7.1 and VHDL)

    CPLD pin problem okay, problem is solved. In the Webpack the option "Create Programmable GND Pins on Unused I/O" was enabled. After disabling everything works fine. regards revolt
  15. R

    CPLD board pin problems (Xilinx Webpack 7.1 and VHDL)

    Hi, I designed a little CPLD board (xc9536) and now I want to check if everything is fine. So I started to try to toggle pins. That were the trouble began. I use Xilinx Webpack 7.1i / VHDL and want to assign the pins Vccio or Gnd, like this LED0<='0'; LED1<='1'; But the both pins have a high...

Part and Inventory Search

Back
Top