Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ranaya

  1. R

    SDC constraints for multiplexed clock paths

    Thanks for the detailed answer, I want to create Tosch as a clock that is derived from all three mux settings. >> If you need to generate a generated clock from one of these you will need to specify which is >> the master source as you will have multiple clocks on the same net. This is...
  2. R

    SDC constraints for multiplexed clock paths

    Hi, I am trying come up with proper clock constraints for a mux/div clock paths. The design structure looks like below: pll4x_clk is an input to the block, so that the output Tosch of the block has pll4x_clk/2, 4 and 8 options. How to properly write the constraints in a way clocks are...
  3. R

    [SOLVED] Glitch reduction at rtl level

    If you refer to the glitches emancipated from different path delays in combinatorial clouds (i.e. Multipliers), then totally eliminating glitches is impossible in gate-level. But you can write the code in a way glitches are minimized based on the information of some standard cell library...
  4. R

    Constraints for the Clock Dividers of Double Edge Triggered FFs

    @KlausST Hi, the diagram looks like below : Assuming DET clock load is less than the x2 of the SET clock load (this has been proven in circuit), in this approach, the DET consumes less power than the SET. This is the end-goal I want to achieve ! However as you said, data-throughput-wise, they...
  5. R

    Constraints for the Clock Dividers of Double Edge Triggered FFs

    Dear All, I have a design that looks like below. The design first receives a single edge triggered clock and through some clock gates, this clock signal is divided by 2 to convert it to a double edge triggered (DET) signal. The idea here is to incorporate DET FFs in a larger digital design...
  6. R

    Tessent scan insertion library

    The scan ffs are available in standard library kits (.db/.lib). If you want a scan chain, then this has to be enabled during the synthesis in DC. Synthesizer will pick scan ffs from the provided library for that. Std cell libraries in .db/.lib format have the timing power/delay information in...
  7. R

    Min, Max, Typical violation in GLS

    If the design is over-clocked during GLS, you violate setup time. The hold violations are fixed at the post layout stage. So if not for the first case, you might be seeing hold violations...
  8. R

    Filler cell X1 in 28nm node

    That, if you preserved the routing in the design. After the placement, before starting the routing, can you do this and see whether post routing still results larger gaps ?
  9. R

    [SOLVED] How do we set max fanout for clock nets

    An (rudimentary) example setup for a CT looks like this : set_ccopt_property routing_top_min_fanout 10000 set_ccopt_property buffer_cells { CKBUFM8R CKBUFM6R CKBUFM4R CKBUFM3R CKBUFM2R CKBUFM1R } set_ccopt_property inverter_cells { CKINVM4R CKINVM3R CKINVM2R CKINVM1R } set_ccopt_property...
  10. R

    Effect of transition time on power consumption

    If you're particularly asking about drc parameters such as max_trans/target_max_trans during the physical stage, then steeper the transition requirement, more data path/clock tree buffers and gate-upsizing is needed. This eventually increases the dynamic power dissipation of the design...
  11. R

    Meaning of voltage in middle of library name

    Mmm... I have never seen this type of naming convention in TSMC 40nm stuffs. However for FDSOI (perhaps for some bulk nodes) libs, the following voltage after the char. voltage could be the back bias (body bias) voltage !
  12. R

    Filler cell X1 in 28nm node

    In addition to what @ThisIsNotSam said, setPlaceMode -place_detail_legalization_inst_gap 2 //specify two sites fillers or larger instead of 1 site filler setFillerMode -core {FILL16 FILL8 FILL4 FILL3 FILL2} -fitGap true place_opt_design Another way (?): setPlaceMode -fillerGapMinGap...
  13. R

    Can a Liberty file have CCS data and not NLDM data?

    Btw do not misunderstand that NLDM here means look up tables generated from traditional voltage curve based measurements ! Nowadays most industry standard libs use CCS measurements to generate reliable delay and power look up tables in .libs. So what you referred to as NLDM data is this...
  14. R

    Filler cell X1 in 28nm node

    After the placement, try this in Innovus : # Adding physical cells in incremental manner ! # I have a filler set FIL1R, FILE3R etc.... getFillerMode -quiet addFiller -cell FILE3R -prefix FILLER addFiller -cell FILE3R -fixDRC addFiller -cell FIL1R -prefix FILLER verifyConnectivity -type all...

Part and Inventory Search

Back
Top