Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by praveenkcp

  1. P

    transferring pixel data to fpga

    hi kirill thanks for ur rly...is it possible to transfer a pixel matrix using COM port..because i need to process a image of sizes that vary from30*30..to high values...so the number of pixels ll be relatively high also..
  2. P

    transferring pixel data to fpga

    hi all, is it possible to transfer pixel values of an image of size 32*32 from hyper terminal to memory of the FPGA....
  3. P

    Create a RAM that stores image or Matrix in VHDL

    hi your idea can be utilized for small sized images. how can i store the image of size 100*100.
  4. P

    verilog code for lifting scheme --- request

    hi r u having code for lifting scheme based dwt in verilog.am also doing my course project in that.
  5. P

    How to store a 128*128 sized image's pixel values in external EAM storage?

    Re: external ram storage am working on FPGA...wat i need s tat i need to convert the image into its pixels and then have to feed it to fpga to process my application...and then from fpga i have to recover the pixel values which are updated and have to reconstruct the image.... here my doubt is...
  6. P

    How to store a 128*128 sized image's pixel values in external EAM storage?

    hi all, i need to store the pixel values in the ram and need to perform some function on them and again i have to store them in the same position....in my survey of referal papers many of them said they had used external RAMs to store the data. hw can i store a 128*128 sized image's pixel...
  7. P

    dflipflop output error correction

    hi all, in my design am using a dflipflop to produce the enable signal for the rest of the circuit. here in enable flipflop am giving output 'q' as the input to 'd'. the output 'q' also used as an enable signal for rest of the circuit....am not giving any other input except clk...so when am...
  8. P

    [SOLVED] dflipflop input error correction

    thank u ...got cleared my error..
  9. P

    [SOLVED] dflipflop input error correction

    hi all, i am trying to give a input of 4 bits to the d flip flop by defining d as input reg[3:0] d; but am getting the error as.. non-net value d cant be assigned like this. is it possible to give string of data as input to d flipflop. anyone help me..urgent.. thanks.
  10. P

    [SOLVED] .coe file creation error

    thanks for d rly yaar.. got corrected tat one...its a minor mistake actually... anyways thank u..
  11. P

    [SOLVED] .coe file creation error

    hi all, am using ise 9.2i... in tat i got memory generator of version 2.4. can anybody help me to write a coe file for tat specific version... wen am using my coe file the following error is occured... 9.2i - Xilinx CORE Generator IP GUI Launcher J.36(c) 1995-2007 Xilinx, Inc. All rights...
  12. P

    [SOLVED] How to store an image by its pixel value in a block RAM?

    Re: simulating BLOCK RAM thank you for the help. it is similar to ise 9.2i oly. i have got the block ram for my specifications.
  13. P

    [SOLVED] How to store an image by its pixel value in a block RAM?

    Re: simulating BLOCK RAM hi i had found it in new source option itself. using tat i had geenrated a BRAM. but it produces lot of text files. but tat .v files are not included to my project. hw can i simulate this block b4 gng for hardware dumping. thank you
  14. P

    [SOLVED] How to store an image by its pixel value in a block RAM?

    Re: simulating BLOCK RAM thanks for ur help. ill try this method. am using ise 9.2i oly.. is it possible to create a BRAM as u said in ISE 11.5 .
  15. P

    [SOLVED] How to store an image by its pixel value in a block RAM?

    hi all, i need to store an image by its pixel values in block RAM. how can i convert an mage into its pixel values. using matlab i had converted. if tat s k means hw can store it in block ram.

Part and Inventory Search

Back
Top