Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Peter_L

  1. P

    VHDL LCD Display - Simulation error using Xilinx ISE

    xilinx ise hello world Hi Drifterz, If you're using the Xilinx FPGA with the Xinlinx ISE editor, I've found a great tutorial on the Xilinx web page (search for "xilinx ise tutorial"). It's a step by step tutorial in VHDL or Verilog (depending on which your comfortable with) which goes through...
  2. P

    VHDL LCD Display - Simulation error using Xilinx ISE

    vhdl lcd driver Hello Drifterz, A really good resource is looking at hte datasheet for the lcd display. It goes over the sequence of commands required to display to the LCD. The Spartan3A uses the Sitronix ST7066U LCD display driver. I have sample VHDL code in previous replies that give you...
  3. P

    VHDL LCD Display - Simulation error using Xilinx ISE

    lcd character code xilinx Thanks to the help from many people on this board, I've almost completed my first FPGA project. One more hurdle and I'm at the finish line. I have created VHDL code to display to the LCD. I believe that there is a timing issue within my code, but i have checked...
  4. P

    NEED Datasheet for LCD display (Xilinx Spartan3A DDR2 Kit)

    lcd spartan3a Hello All, Anyone happen to know the make and model of the LCD display for the Spartan3A DDR2 Dev Kit? I require the datasheet, but unfortunately I wasn't able to find anything in the Xilinx documenntation.
  5. P

    xilinx's answer's database not very useful

    physdesignrules:367 Your posts were all very helpful and I was able to solve my problem. I am using the Xilinx Spartan3A DDR2 development board, and I am very new to FPGA's. I've attached the error message that the Xilinx ISE was generating. After reading your comments, I took a look at...
  6. P

    xilinx's answer's database not very useful

    physdesignrules:10 Hello Deepa, did you ever figure out why you were getting that "completely unrouted" error? How does someone fix a problem like this. I am also getting that error.
  7. P

    VHDL LCD Display - Simulation error using Xilinx ISE

    vhdl display Thanks guys. I was able to find VHDL code written to display to a LCD. I used it as a guide explaining some of concepts echo47 and FVM spoke of previously. I've attached it here for anyone who is interested.
  8. P

    VHDL LCD Display - Simulation error using Xilinx ISE

    lcd driver open source vhdl Thanks again Echo for your reply, i definately appreciate your help. What you are saying makes sense, but I have designed the processes so that each is run sequentially. Why are both "lcd_clr" and "lcd_e_disable" processes being run simultaniously? Does this...
  9. P

    VHDL LCD Display - Simulation error using Xilinx ISE

    design stopwatch using xilinx Hi Echo, I'm still trying to solve my problem. I took your advice and assigned initial values in my vhdl code for the behavior of my fpga, but that did not solve the problem. I will attach the testbench code that the Xilinx ISE generated. Please let me know if...
  10. P

    VHDL LCD Display - Simulation error using Xilinx ISE

    vhdl lcd module ascii Hello All, I currently have a Spartan3A DDR2 development kit. I am trying to create VHDL code to turn on a single LED from LED(0) to LED(8) and display a message to the LCD screen everytime a combination of switches are toggled switch(0) to switch(2). I am using...
  11. P

    Help with Xilinx ISE simulation error

    Thanks Echo, that makes a lot of sense. I'll give it a try and see how it works.
  12. P

    Help with Xilinx ISE simulation error

    +xilinx uninitialized register Hello. I am having problems during a simulation of my software. An attached screen shot of what I am seeing during simulation is shown. What do the red checkered lines mean? What type of error is this? When i do a syntax check everything compiles fine. Any...
  13. P

    How to cause a DELAYED output from a switch (usingFPGA VHDL)

    vhdl switch led Hello everyone. I am trying to cause an LED to have a delayed (1 second delay) on / off response to a switch which is being toggled. How do I go about creating this delay using VHDL? I am currently using the Spartan3a development board. I was thinking of using a 'for loop'...
  14. P

    Where to start learning FPGA ?

    I want to learn FPGA I have found a great vhdl resource called Evita_VHDL found on the Aldec web site. I am also a noob and I found it extremely useful as a learning tool. This program is essentially a course on VHDL explaining everything step by step including a lot of theory and examples...
  15. P

    How do Laser Range Finders/Meters measure distance?

    I am trying to find the most effective/accurate method for measuring distance using laser technology. I am aware of a few methods that are available: 1. Time of flight 2. Multiple frequency phase-shift 3. Interferometry 4. Using triangulation / trigonometry Which method are current range...

Part and Inventory Search

Back
Top