Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Opel_Corsa

  1. O

    Utilization bound for static scheduling policy

    Hi, I'm looking for the derivation of the utilization bound for the Maximum Utilization First policy (MUF is the same as Rate Monotonic with the difference being in that MUF gives prioritizes tasks with higher utilization. So the higher the exec time/period the higher the priority). Thanks.
  2. O

    FIltering signals using Stockwell transform

    stockwell transform Does anybody know how it works? I want to say add 2 signals and filter out the high frequencies using the Stockwell transform. Thanks.
  3. O

    Precise electrical valve - need help, ideas in project

    Precise electrical valve I have a thin pipe with one end firmly attached to a small balloon. What I want to do is to electrically control the amount of air that goes in and out of the balloon with reasonable precision (I want to be able to measure the pressure inside the balloon). It doesn't...
  4. O

    Microprocessor design factors

    Thanks alot! Yes I was looking for ways to optimize the design of the MP. Now what if we know that for a certain set of instructions the value read from an integer register is most of the time 0? How might one use this knowledge to optimize the design of the MP? Thanks again.
  5. O

    Microprocessor design factors

    For a certain set of instructions my microprocessor has to execute and take a lot of backward branches (e.g. the BEQ instruction in a loop is "taken" when it evaluates to true). Anyone knows how I can use this info to optimize my MP? Thanks.
  6. O

    Building a high power laser device

    I'm working on a project that is supposed to use a laser beam to engrave symbols on such surfaces as wood. There're tutorials on the Internet about converting a regular laser pointer into a burning device (uses DVD diode) but its power is not sufficient for my purpose. Is there any way I could...
  7. O

    Comparing the output in VHDL

    That's good to know, but what I'd want to do is to execute another set of VHDL statements after the comparison instead of returning a text error.
  8. O

    Comparing the output in VHDL

    How would you compare the output of your functional block to a value? say my code has an output signal defined in the entity part, and I want to see if this signal equals a binary value at a certain instance. Any help is appreciated.
  9. O

    Why I have If-then VHDL errors in my code?

    error 10500 vhdl Understood. Thanks very much.
  10. O

    Why I have If-then VHDL errors in my code?

    near process: expecting if Thanks. But for a purely combinational process, even if you don't include the term process/end process; the code will be still synthesized as a purely combinational process by the compiler (in my case, Quartus II). At least that has been my understanding so far... I'd...
  11. O

    Assigning more than one task to a state (in WITH statement)

    Re: Assigning more than one task to a state (in WITH stateme Thanks! That's very smart, nand_gates. So now I realize that it's not possible to do what I was looking for (as xstal mentioned) other than by tweaking the design by a bit.
  12. O

    Assigning more than one task to a state (in WITH statement)

    Re: Assigning more than one task to a state (in WITH stateme Thanks for your reply, but that was not what I was looking for. I was wondering if it is possible to do something like the following: with y select {outputscr <= "00000000", var_x <= '1', var_y <= '0'} when I0...
  13. O

    Assigning more than one task to a state (in WITH statement)

    In the following sample code a state machine is defined: architecture RTL of mycode is type state_type is (I0,I1,I2,I3,I4,I5,I6); signal y : state_type; signal var_x, var_y : std_logic; begin process(clk, reset) begin if (reset = '1') then var_x <= '0'; y <= I0; elsif (clk'event...
  14. O

    Why I have If-then VHDL errors in my code?

    vhdl if condition Thanks. Can you explain why you are considering m to be a clock? (since the if-then clause is sequential). I thought it was purely combinational...
  15. O

    Why I have If-then VHDL errors in my code?

    error (10500) vhdl Thanks very much for all the responses. First, the code that I posted was not a state machine. My code does deal with a state machine, but the one posted wasn't one. Also there are correct number of "end if" statements in my code. In any case, here's the full part of the...

Part and Inventory Search

Back
Top