Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by omar_elruby

  1. omar_elruby

    Huffman encoding in basic C

    Hi mystic07, I have actually done this exact same project 2 months ago. Since i had to do it in C, I faced this problem also. What i have done is that I built the tree manually (i created a node, and each node is having right and left child nodes). Here is an example how to create a node...
  2. omar_elruby

    How to write a code in C and burn it on DSP board ??!!!!

    :D O.K. I'm just asking about the concept. So The software depends on the DSP used, can you give an example please :) Why you are saying, that burning is not functioning ?? Thanks,
  3. omar_elruby

    How to write a code in C and burn it on DSP board ??!!!!

    I was using VHDL and burning my codes on FPGA, But, i was told that I can write the codes in C language and burn them on DSP board, is this possible ??? if possible, i need to know the softwares required, and where i can find them ... if not possible, can you please give me an alternative...
  4. omar_elruby

    HELP...fixed-point multiply using VHDL

    vhdl multiply by fraction YESSSSSSS, that's great, sorry i thought in a wrong way, It's working perfectlyyy, Thank you so much :)
  5. omar_elruby

    Is there an existing library for ln(a : integer) ???

    That's a good idea, but can you please give me more hints or a link where i can read about this ?? Thank you,
  6. omar_elruby

    HELP...fixed-point multiply using VHDL

    vhdl fixed point multiplication I have tried this, but it's not working with me, i have inputs X, Y bit_vector( 9 downto 0), i'm having 7 decimal places mulRes <= bit_vector((signed(X) * signed(Y))); -- mulRes bit_vector(19 downto 0) res <= mulRes(16 downto 7); X = 001 1010000...
  7. omar_elruby

    Is there an existing library for ln(a : integer) ???

    Thanks Tariq, i'll be trying this :)..
  8. omar_elruby

    Is there an existing library for ln(a : integer) ???

    I can use the calculater as well !!!! ... i want to burn my design on FPGA kit, so i have to write it in VHDL ...
  9. omar_elruby

    Is there an existing library for ln(a : integer) ???

    integer ln I want to calculate ln(a), where a is an Integer not real, to be able to synthesis it, Is there an existing library containing the ln function ??? Thanks in advance ...

Part and Inventory Search

Back
Top