Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by nikhilindia85

  1. N

    voltge region and voltage island

    is there any difference between voltage region and voltage island
  2. N

    Why need thick route for clock trunk?

    Clock nets r highly switching nets and needs less delay to reduce the skew,slew etc... high metal layers have less resistance and in order to reduce the crosstalk we use shielding or isolation
  3. N

    how this RTL infer in synthesis

    though a blocking statement in always with posedge clk sttement always infers Flip-Flopo only.A latch with posedge senistivity is not possible.correct me if i am wrong...
  4. N

    how this RTL infer in synthesis

    always @(posedge clk) begin q <= p; p <= d; end always @(posedge clk) begin p <= d; q <= p; end how this works?does it give any error??? Added after 2 minutes: two always blocks in a single module will be execued parallaly.right???
  5. N

    Looking for Virtuoso tutorial on analog analysis

    Re: virtouso manual go to this link for PDF version
  6. N

    Looking for Virtuoso tutorial on analog analysis

    virtouso manual can u tell wat error it is throwing
  7. N

    Simulation after synthesis

    u wl get a synthesized verilog netlist after synthesis with .v extension u need this .v netlist and verilog models of ur technology library to do the post synthesys simulation.u can do this simulation in any smulator like modelsim,NC,VCS...etc frst u compile the verilog models of technology...
  8. N

    physical design jobs in India ?

    Try in IBM,they r looking for PD guyz
  9. N

    What Should be the Synthesis circuit

    It will synh to a D-FF wih input as a 1.even though u write blocking statement it wl synth by asuming it as a non blocking statement
  10. N

    How to implement scoreboarding technique to verify a processor?

    how to implement scoreboarding technique to verify for a processor
  11. N

    Which country has best opportunities (work and Pay) in VLSI

    what country has best opportunity howz the job opportunities in japan for who dont know japanese
  12. N

    Need a VHDL code for 16 bit counter with up range and low range

    vhdl code sounds like an assignment question.i cant write whole code,but i can give some hints use case statrement for 4 modes.inside each case u simply count the counter.
  13. N

    how to IP block that no one can modify/see its contents???

    ncprotect vhdl i think same thing can be applicable for vhdl also.

Part and Inventory Search

Back
Top