Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Mike_D

  1. M

    "cheap" place and route software?

    We might be doing a 180 nm start here, currently we've only done FPGA work. I think we're looking at somewhere between 500K and 1M gates for the design, digital only. Any suggestions on a reasonably priced place and route tool? So far Magma's cost a lot, and the Synopsys sales droid gave a...
  2. M

    Difference between ASIC and FPGA based synthesis...

    Slight nickpick, but FPGA's are used for far more then rapid prototyping. There are many places that use FPGAs in production designs, including a couple of places I've worked at. "Make it your ASIC" has been Xilinx's marketing slogan for the Spartan-3 for a few years.
  3. M

    mcu or DSP that runs at -55C (mil/aero temp range)?

    mil/aero dsp Does anyone know of a mcu or DSP that runs as cold as -55C (about -60F), and has an intergrated ADC?
  4. M

    US Foundries(standard cell)?

    Chartered is in Singapore :( Thanks though.
  5. M

    US Foundries(standard cell)?

    Does anyone know what what foundries are still located in the US? I found a couple, like Honeywell, that are, but they were all a rad-hard process, which is overkill for this design. However, no details of the design can be exported. Thanks, Mike
  6. M

    free SystemVerilog tools

    Well, I was looking for free (at least as in beer, if not F/OSS). And Questa is what Mentor calls their high end version of ModelSim now, ModelSim SE does not have full support for SystemVerilog testbenches or assertions.
  7. M

    free SystemVerilog tools

    Are there any free tools that support SystemVerilog? I'm looking into CAD tools and design methods at work (luckily starting with pretty much a blank slate), and at least for verification SystemVerilog seems to do just about everything I'm looking for (constrained random, assertions, etc). I...

Part and Inventory Search

Back
Top