Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
i am tryng to use tanner eda licensed version where the license is provided via dongle.
the problem is whenever i try to open sedit after pulging in the dongle it shows following message. i would like
to mention here that i have already saved the .tlu files in the utility folder.
the message...
can anyone help me with small signal modelling of LLC converters. Please tell about the procedure of small signal of LLc converters in detail.
Thanx In advance
i need to perform division in vhdl in ise environment by other than powers of two. i need to do this in single clock cycle. i facing this problem since ise environment does not support division by other than powers of 2
pls help
thanx in advance
yes there should always be a reset . it prevents the signals from getting undefined values or garbage values especially when you do hardware implementation
here is the new code for pid
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if...
thanks a lot for d suggestion .
i have replaced e_prev2 e_prev1 . yes it was an error but then also it was still giving same result .
then i tried using variable inside process then the output of pid was undefined for all the clock cycles.
pls help
i have already checked the code . i don't think dat there is prblm of multiple drivers. here is d code.
pls lemme know the error in dis vhdl code .
entity pid is
port(
u_out:out std_logic_vector( 15 downto 0);
e_in:in std_logic_vector(15 downto 0);
clk:in std_logic;
reset:in std_logic);
end...
i have to design a pid controller for controlling the duty cycle. the problem is wrote a vhdl code but they simulation results show undefind output of pid evry alternate clock cycle. i am not able to underdstand the problem.
pls help.
hi ! can anyone help i could not find the error in this code. i need to generate clock pulse at lower frequency .
entity clkgen is
Port ( clk: in STD_LOGIC;
clk1: out std_logic;
c1: out std_logic_vector( 5 downto 0);
reset:in std_logic);
end clkgen;
architecture Behavioral of clkgen...
can anyone provide the source code for spartan 3an adc and dac interface . ineed to study it ,
moreover i have the source code for 3e .will it work for 3an ?
pls help its urgent .
thanks in advance .
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.