Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by manasa4

  1. M

    vhdl code for multiplicative inverse modulo 2^4+1

    hello,can any one explain how to get multiplicative inverse for 4 bit input,i.e,for modulo 17(2^4+1),i have written a code but its not being run , number in integer multiplicative inverse\ 0 0 1...
  2. M

    vhdl programming for multiplicative inverse inverse modulo 2^4+1

    hello.I've written a code for multiplicative inverse modulo 2^4+1.there are no syntax errors.but at the time of simulation its showing no result not even an error.could u solve this. here is the code. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use...
  3. M

    vhdl programming for idea algorithm

    sir, i am writing oa vhdl code for idea algorithm with 64 bit input.while writing code for decryption ,how to implement multiplicative inverse for a group of 16 bits.
  4. M

    vhdl programming using xilinx 7.1

    sir, I am doing a project on idea algorithm.during simulation ,it is showing a fatal error for the multiplication of two 16 bit inputs.is there any way to store the least significant 16 bits of the result in as 16 bit output from the 32 bits.should we use any library function.i m writing the...

Part and Inventory Search

Back
Top