Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ljkong

  1. L

    modelSim simulator's err.

    modelsim textio write pls search ee.ant file. where can you get the file? i remember there will not be such .ant file after compiling the design. puzzle me!1
  2. L

    Converting verilog RTL to verilog NETLIST

    ise edif verilog in fact, i do not use XST. i just use Precision RTL or Synplify. those SW have the outfile what you want. i am not sure whether XST has this function. and XST is one rtl synthesizer, so area constraints is no use for it. it processes your design on logic level. in edif file ...
  3. L

    How to use Verilog code to generate the block and state machine diagrams?

    Re: fpgaadvantage maybe the coding style is not suitable for FA to convert to FSM. if you have no hierarchy in you design, FA can not convert your design to block diagram. meanwhile you should select the option of converting to FSA and block diagram when importing your verilog code.
  4. L

    how to change mif file to hex file

    hexfile xilinx with the coregen window, you can use the memory editor. you can import you mif file and then write to or save as hex file. i think this can help you. if it can not do this, you must retry to input the initial value of your memroy in the memory editor. and then save as hex...
  5. L

    Converting verilog RTL to verilog NETLIST

    verilog netlist to edif yes. you can get it. after you synthesize your design, you can select the output file which can be edif or verilog or vhdl. when or after place and routing, you can not get it. you can get want you want in this way. good luck.
  6. L

    FPGA Advantage with Xilinx tools

    in fact i can understand what you mean . which library do you want to use in ISE? if you want to use coregen in FA, you can invoke the link in the task of hdl designer. but if you use ise7.1 and hdl designer is not the latest, you can not invoke coregen directly in FA.. in fact when you invoke...
  7. L

    one ppt file about what is modelsim6.0 update.

    until now i do not get the material about this. i just have hard-copy training material.
  8. L

    How to handle a big input data block in simulation???

    modelsim5.7 is ok. i have tried it. modelsim5.6 is ok.but 5.5 is not. you can try it. it is so easy to use. good luck.
  9. L

    how to protect my RTL source code?

    for modelsim, you can use vcom -nodebug to do it. good luck.
  10. L

    someone has designed counter-strike radar?

    sorry. i just know this. one professional term is through-wall surveillance radar. there are some papers concerning on this. tnx.
  11. L

    who used ds PIC from macrochip?

    ds pic pls give me some advice which application is it suitable? does the develop system support C language? tnx
  12. L

    Salaries in UK/US and VLSI IP development

    i just know intel create one researching centre in China nowdays.
  13. L

    Looking for references about 555 timers in designs

    Re: 555 Timers you can get many many reference designs with 555 timer from books or website. pls search it in library or www.
  14. L

    Problem:bandpass filter design

    nuhertz tutorial do you have simulated your circuits? if your circuit show oscillating, it means there is feedbacking. you must cut the feedbacking route. then you can get what you want.
  15. L

    someone has designed counter-strike radar?

    i hope someone can talk with me? for counter-strike radar, how to select the source of it? and what is the latest technology? thanks.

Part and Inventory Search

Back
Top