Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kulyapinav

  1. K

    CTS metal layer in 90n process.

    Hi, Generaly, you should use couple of upper metal layers (but not the highest, as the highest layers are used for Power wire structures, such as Rings and Stripes) due to small resistance thay have (low R parasicits)
  2. K

    Ultrasim VAVO (emir option)

    Hi, I am afraid, you should use dfII extracted view for VAVO. One of Cadence AMS Kit (release >= 6.1.2) chapters focused on Ultrasim-VAVO methodology usage
  3. K

    Slew repair after post layout in encounter

    Hi, It is exectly what I meant. Actially it depends on SOC Encounter release version as 7.1v and earlier releases supported 'fixTranViolation' command also
  4. K

    Q on csh script - setenv ROOT_PATH

    Re: Q on csh script Hi, I see. It looks like the perl script is waiting for some STD INPUT Pay attention that you try to evaluate the perl script result. I suppose that process would like user to type something, to say another words it wait for response from input stream STDIN. Debug this part...
  5. K

    Q on csh script - setenv ROOT_PATH

    Re: Q on csh script Hi, Your script looks fine and it works from my side. Try paste here the error message that you see
  6. K

    Q on csh script - setenv ROOT_PATH

    Re: Q on csh script Hi, I am not able to reproduce the issue. Please, provide both scripts extended example
  7. K

    Q on csh script - setenv ROOT_PATH

    Re: Q on csh script Hi, Yes, sure you can run tcsh script in csh script. Just make sure "tcsh" script is "executable" OR you can use following statment to run "nonexecutable" script:
  8. K

    How to define clocks in my cases? S.O.S!!!

    hi iwpia50s, Actually above timimg constraints (clock root is defined at PLL output or MUX output) are fully acceptable for BE (I use this flow often. I use Cadence Encounter BE tool set).You can easy fix the slew BEFORE the mux through max_transition constraint usage. hi laughlatest, clock...
  9. K

    Q on csh script - setenv ROOT_PATH

    Re: Q on csh script Hi, You could use "set ROOT_VAR=`pwd`" expression if you do not need to inherit $ROOT_VAR variable to child shell and deeper
  10. K

    How to define clocks in my cases? S.O.S!!!

    It affects to clock latency. And it is your choise and it depends on your design. U should understand how clock latency value affects on I2C and C2O cost groups. I am just wonder you will get over-optimistic clock tree latency for BE design (propagation clock latency) if you point the clock tree...
  11. K

    How to define clocks in my cases? S.O.S!!!

    Hi, You should not skip CLK port at all. I meant following: create_clock -name CLK0 [get_ports CLK] .... create_generated_clock \ -name CLK1 \ -source [get_ports CLK] -divide_by 1 \ -master_clock [get_clocks CLK0] \ -add [get_pins PLL/OUT] set_case_analysis 1...
  12. K

    How to define clocks in my cases? S.O.S!!!

    Hi, I do not know about Synopsis flow, but you should use set_clock_latency instead of input_delay for clock in Encounter based flow. The next question is "what place is the clock root?". The answer depends on chosen archirecture. Do you cotstraint any output pins? I mean, have you any...
  13. K

    Is there some relation between clock transition and setup/hold time for a D flip-flop

    Re: clock transition time vs setup/hold time of a DFF Hi, The answer is yes, of cause, setup time depends on clock transition time. You can see it in detailed STA tool's LOG as some additional to path delay. Besides, you can see it in liberty file: And, mostly important: look at DFF schematic...
  14. K

    Timing Analysis in Cadence Encounter

    Hi, Yes, You can. If pulsed latches have similar timing libraries to that of conventional fip-flops

Part and Inventory Search

Back
Top