Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kujigaya

  1. K

    gate level simulation- sdf file how to read clk & reset

    if the delay (as specified in the SDF) is greater than the clock period, then the signal changes will not propagate through the cells/nets you can try to simulate using a lower clock frequency
  2. K

    finding crtitical path in the synthesized verilog code

    you can experiment with -slack_greater_than option of report_timing
  3. K

    Quick Register Counts w/o Synthesis

    In DC, try the following after elaborate/read_verilog/read_vhdl (no compile) 1. sizeof_collection [all_registers] 2. sizeof_collection [get_cells -hier *_reg*]
  4. K

    finding crtitical path in the synthesized verilog code

    you can use either design compiler (DC) or primetime (PT) in your synthesis script, write a ddc format netlist (so that the constraints are embedded in the ddc file) once you're done with synthesis and generated the ddc file, read it into either DC or PT. then do a report_timing -nworst 10...
  5. K

    how to verify clock domain crossing ?

    during netlist simulation with SDF, all timing checks are enabled for all FFs except for the 1st FF of the synchronizer (VCS has this feature) the goal is to check if the data bus crossing do not violate setup/hold timing (i.e. the synchronized control signal properly gates the data to the...
  6. K

    how to verify clock domain crossing ?

    i think the most straightforward way is through gate level simulation with SDF, where the clocks are sweeped/skewed with each other the first stage of each double FF synchronizer is ignored for timing checks
  7. K

    Is default required for fully covered CASE statement

    I agree on the "keep it in practice" =) This is one way to avoid latches during synthesis or hanging state machines
  8. K

    Is default required for fully covered CASE statement

    No =) another way to code this is change the last case as the default case. case(state) 2'd00 : y<=a; 2'd01 : y<=b; 2'd10 : y<=c; default : y<=d; endcase this way you'll still - pass syntax checker tools such as Leda or Spyglass (which require a 'default') - prevent unhit lines during code...
  9. K

    Can STA be done using Design Compiler ?

    I think STA for pre-CTS netlist is the same for both DC and PT. You should be able to use the same scripts specially for newer DC version For post-CTS, post-routed netlist, I think you must use PrimeTime specially for clock tree analysis and on-chip-variation timing analysis
  10. K

    Hold checks on clocks with period 3 & 4

    For "Setup(2)" 1b and 2b, are they only for CLK2 paths? What is the timing relationship between CLK1 and CLK2?
  11. K

    how to break timing loops?

    Just in case you encounter another loop =) What I do is do a report_timing -loop before compile (after elaborate and reading the constraints). The cells in the report are unmapped and their names are closer to the original RTL. It's hard to trace in a mapped netlist specially if DC ungroups...
  12. K

    How do I tell Design Compiler a signal is a constant?

    I think doing either set_case_analysis or set_dont_touch_network have some possible issues 1. If with set_case_analysis, there may be some unwanted optimization in the fanout of the "master control signal". If it's really static, why not change it to a constant 2. If with...

Part and Inventory Search

Back
Top