Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kokei74

  1. K

    how set the compression tolerent range for significant DCT coefficients???

    Hye, Actually, I'm also doing the research about the "Recognization of medical image using significant DCT coefficients quantization". Here, i just want to know how set the compression tolerent range for significant DCT coefficients? I need help and hope you all can give me some idea. I...
  2. K

    MATLAB PROGRAMING + gaussian finction

    Hye, i just want to know how set the compression tolerent range for significant DCT coefficients using matlab? I need your help or consideration if you are busy or not to burden you, if you can give me some idea. I really need your idea and suggestion. Really hope the feedback from you...
  3. K

    MEDICAL IMAGE BASED ON DISCRETE COSINE TRANSFORM (DCT) ..help me

    hi everybody I'm trying to implement MEDICAL IMAGE BASED ON DCT using Matlab. Can anybody provide me with the information about coding.I have already done this coding..but i don't know how to apply statistical analysis in this project.hope anybody can help me. thanks! ---------- Post added at...
  4. K

    Help me with this counter

    Im having trouble coding it myself. :cry: can u help me code it or give an example on how to it?
  5. K

    Help me with this counter

    Im having problem with my counter which will control my storage data in ROM to multiply with another ROM. Both rom will stored matrix data. im having a problem with my counter where it only can count from 0 to 15. For my design i need a counter that need to count from 0 - 3 and repeat counting...
  6. K

    Help me troubleshoot my QPSK modulator.

    eye diagram qpsk GaoMing; I already read about 1st Nyquist ISI criterion..but..i still didn't understand why scatter plot change to distribute after we put the RRC filter. i also didn't understand why the amplitude of the waveform I, Q and QPSK modulator decreasing after put the RRC filter...
  7. K

    Help me troubleshoot my QPSK modulator.

    raised cosine qpsk thanks GaoMing for ur clearification about this matter. From what u have post here i will try to understand and learn more in detail regarding this things. But i might need some more help from u. I hope u can help me. Thanks for ur all time helping.. : )
  8. K

    Help me troubleshoot my QPSK modulator.

    eye diagram of qpsk pls,someone can help me....:cry: answer this question.............:cry:
  9. K

    Help me troubleshoot my QPSK modulator.

    using matlab to plot a qpsk modulator owh..i see...tq GaoMing....:D if u dont mind i would like to ask u come question. hopefuly u can help me... :) 1) what is the function of the real-imaginary to complex and complex to Real-imaginary in this operation. 2) why there is some noise at the...
  10. K

    Help me troubleshoot my QPSK modulator.

    bode diagram in matlab 7.7.0 GaoMing, firstly, tq so much for ur concern... i try to run model that u give, (qpsk_modulator_block_GM) but output can't appears because there is an error at Root Raised Cosine filter block.i have copy the warning from matlab. Warning: In instantiating linked...
  11. K

    Help me troubleshoot my QPSK modulator.

    square-root nyquist using simulink Hi, i try to implement a QPSK modulator using simulink. This is a expected result of the modulator where im using a QPSK block in library. As for my own build here is the model of the QPSK block. But there is an error at Root Raised Cosine Filter block. Is...
  12. K

    Need help to encode serial input to parrallel output..

    dear radix, thx for ur answer here. i have tried both method and its work perfectly fine. My input is guarante to be "one-hot so i`ll just use the fist code. Btw i have learn a another ways to convert S-P from 2nd code tho.. Thank You Radix!!! :D
  13. K

    Need help to encode serial input to parrallel output..

    can someone help me to correct this? i just want to change the input (4bit) into a single bit in a 4 different port (O1,O2,O3,O4). library ieee; use ieee.std_logic_1164.all; entity encoder is port( I: in std_logic_vector(3 downto 0); O1: out std_logic; O2: out std_logic; O3...
  14. K

    Help me fix this error pls.

    i have modify this code from my previous project. For the component i just copy from module that i alrdy done. lol thx for that 1.. i have change for component mul but still got error.. its said that : Error: Node instance "stage4" instantiates undefined entity "mul" component mul port ( a...
  15. K

    Help me fix this error pls.

    portmap technique i tried to perform a multiplication using port map technique. For some reason its getting an error. Can some1 help me fix this and tell me the reason? :cry: library ieee; use ieee.std_logic_1164.all; entity Phase1 is port ( clk :IN std_logic; Mulresult :OUT...

Part and Inventory Search

Back
Top