Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kirteshmiet

  1. K

    TSMC and spice model of library cells

    I think if you can do grep -r ".spi" in the dir where PDK is you will get it. Regards Kirtesh
  2. K

    Difference between POCV and AOCV in simple words

    The first of these attempts is the so-called basic OCV analysis. It relies on the fact that best- and worst-case conditions can’t occur at the same time. A single global derating factor is applied to delays to account for the fact that they will be somewhere between best and worst case in...
  3. K

    Short/Opens in extracted data how to resolve

    Hi All, Please find my problem below and try to answer if you have already faced this issue. I have a routed DB there is no opens or shorts, but I am seeing in extracted data, what may be the reason. Please answer me if you know. Thanks Kirtesh
  4. K

    Why Synopsys DC and PrimeTime timing analysis report is same!!!!!

    Hi gstekboy, In this case then i would say as design is very small so you are not able to see the difference . try it for some bigger logic. As suggested by sarath:-) Thanks
  5. K

    Need to merge first column of one and third column of second file in another file

    Hi ernpao , Thanks for your suggession, but in my case open office is not there and also i can not copy data from my workstation to pc . so i have to do this with commands of unix . using awk sed vi etc. Thanks
  6. K

    Why Synopsys DC and PrimeTime timing analysis report is same!!!!!

    Hi gstekboy As per my understanding both should match . As you are giving DC output netlist and sdf to PT and your constraints are also same . Since no routing or physical changes had not happened so it should not vary. Both will vary if there are any constraints mismatch or if any environment...
  7. K

    Need to merge first column of one and third column of second file in another file

    Hello friends! Here is the scenario. I have two files named FILE1.TXT and FILE2.TXT with multiple columns and comma as delimiter. Now my task is to create another file called FILE3.TXT which contains the FIRST column values from FILE1.TXT & THIRD column values from FILE2.TXT separated by comma...
  8. K

    why vlsi ?

    Hi Ashok, it is not like that we are particularly interested in vlsi. But as we are semiconductor professional so this group is to discuss the problems which we face while doing our work. if you have some nice ideas share with us if can be technical as well as non technical as well. As non...
  9. K

    propagation delay

    Hi Jafri, Please give more details , in general we measure propagation delay by seeing input trans and output load. So when tool sees these two values it goes in to .lib file where we have matrix and there we have lot of values . So tool pick value from there. if it is not fall into matrix then...
  10. K

    what are the different tupes of signal integrity vioaltion please explain.

    yes please go on and give explanation also if you know ,,, it will be a great help.
  11. K

    Why do we need to close timing with different voltage ?

    Nice example rahul :-) yeah that's why we check.
  12. K

    Why do we need to close timing with different voltage ?

    Hi Rahul, as per my understanding if we are making one product then it might be operated in different condition so we check in worst normal(typical) and best case. Suppose you have a design that can operate normal at 1.8v but some of your component will become shutdown only when supply is below...
  13. K

    GDS back to DEF/ MW DB

    I think in this manner we can do : 1. go to import take gds and make one libarary i mean stream it in the virtuso . 2. go to export and give that lib + cell name , it will export one def .

Part and Inventory Search

Back
Top