Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Kaskode

  1. K

    Synchronous input FSM & sensitivity list

    Thank you all for your comments. As for the case comments, I have tried to simplify the original code as much as I could to highlight my real problem, that meant removing states. I left the default state for the B state as a safe mechamisn, that is, to be sure stateReg doesn't contain anything...
  2. K

    Synchronous input FSM & sensitivity list

    Hello, Thank you for your answers! Akanimo, I think your code doesn't implement what I am trying to achieve. I have simulated the last code I submitted and it seems to work, my concern is if there is a better way to do this or not. In particular this process: process(clk) begin if...
  3. K

    Synchronous input FSM & sensitivity list

    Thank you all very much! I see my view of VHDL is not right... My intention is to register "input" pin in the rising edge of the clock and at the same time output '0' through "data". In the next rising edge I want to output the value of "input" in the previous cycle and repeat again and again...
  4. K

    Synchronous input FSM & sensitivity list

    Thank you very much TrickyDicky! The code is as follows: library ieee; use ieee.std_logic_1164.all; entity Test1 is port( rst, clk, input: in std_logic; data: out std_logic ); end Test1; architecture rtl of Test1 is type state is (A, B); signal stateReg, stateNext: state; signal...
  5. K

    Synchronous input FSM & sensitivity list

    Hello all!, I am learning VHDL, sorry if this is too obvious or doesn't make sense at all. I am trying to implement a FSM whose inputs are only registered synchronously, that is, the output logic process only contains CurrentState in the sensitivity list and it is updated on the rising edge of...

Part and Inventory Search

Back
Top