Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kakarala

  1. K

    Declaration of signals in package

    hi can i declare a signal in a package and assign a value to that signal in some other entity design?
  2. K

    error in synthesizing the code

    i didnt read any book. can you tell why i am getting that error?
  3. K

    error in synthesizing the code

    hi i am trying to synthesise the code, But its giving me following error. Attached is the code i am trying to synthesise ERROR:Xst:1769 - Illegal multiplexer construct found in equation of signal <curr_image$mux0064> created at line 163. At least one multiplexer input does not have the correct...
  4. K

    problem with if generate statement

    HI i am trying to use if generate statement . Here i declared rp as constant array in a package and rp_x as a signal. When i am synthesizing it gives me the following error ERROR:HDLParsers:1305 result of operator = is not static. A :if rp(rp_x) = 1 generate p1 <= p-stepsize...
  5. K

    Help regarding error in ise synthesis

    Hi i am trying to synthesise the following code but its giving me errors.I searched for it but i couldnt find anything about this error. did anyone come across this error? ERROR:HDLParsers:1305 - "C:/Downloads/Motionestimation/me.vhd" Line 52. result of operator > is not static...
  6. K

    Help me solve Xilinx ISE error: HDLParsers:1305

    Re: Help about error i am attaching the code for me
  7. K

    Help me solve Xilinx ISE error: HDLParsers:1305

    HI I am trying to synthesise the code in xilinx ise it gives me the following error ERROR:HDLParsers:1305 - "C:/Downloads/Motionestimation/me.vhd" Line 60. result of operator > is not static. does anyone know about this error?
  8. K

    Problem with errors in a package (Xilinx XST)

    Re: error in a package hi can you tell me where can i find information about basic literature on image processing?
  9. K

    Problem with errors in a package (Xilinx XST)

    Re: error in a package actually i am able to write the code to compute sad as in my previous thread , but i am not able to use it in my code for motion estimation because i cannot instantiate a component in process. In my code i need to compute the SAD of 4 blocks and compute min SAD. Can we...
  10. K

    Problem with errors in a package (Xilinx XST)

    Re: error in a package hii how can i add all the 16 values in the function. I cant use process in the function right?I am writing a function because I am writing the code to compute motion vectors using search technique and i need to compute SAD of all the surrounding blocks and check which...
  11. K

    Problem with errors in a package (Xilinx XST)

    error in a package HI i wrote the following package and i am checking this for errors. This gave me the following errors. ERROR:HDLParsers:808 - "C:/Downloads/Motionestimation/SAD.vhd" Line 41. + can not have such operands in this context. ERROR:HDLParsers:808 -...
  12. K

    How to initialize a 2 dimensional arry

    Hi Can anyone tell me how to initialize a 2D array ? for 1D array we use signal x : arraytype(0 to 7) := (1 2 3 4 5 6 7 8);
  13. K

    Help me with a code which adds 16 sad

    Re: Help about the code i do have that part of code in xilinx and i forgot to mention in the above code, srry about that , it gives the same error
  14. K

    Help me with a code which adds 16 sad

    Re: Help about the code I changed the code as follows so that it computes each difference for each clock and adds it for each clock. But it gives me following error Advanced HDL Synthesis *...
  15. K

    Help me with a code which adds 16 sad

    Re: Help about the code what you want me to do is decrease the number of differences between each pixel in block for each clock?

Part and Inventory Search

Back
Top