Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jinruan

  1. J

    is it a bug of Design Compiler ?

    i have synthesize the code with the three coding style , and found that the result is the same.
  2. J

    who can give me Scripts for scan synthesis ?

    scan synthesis? What's your mean? If you want a netlist with test ready state? ~~~~~~~~~~~~~~~~~~~~~~~~~~ i want test ready compile and inset scan chain. There are many gate-clocking in my design, when i run my script , there are many violation when dc execute "check_test" command, and it fails...
  3. J

    who can give me Scripts for scan synthesis ?

    Hi, all I have some probelm in write script for scan synthesis, who will give me a example script? Thanks! Please email me: jinruan@sourcecore.com
  4. J

    a problem in pre-simulation with annotating sdf

    netlist sdf problems Hi Han, I have set_dont_touch attribute to "dem_clk" and "clk" "rst_n", "dem_clk" "clk" are the generated clock in my design , and "rst_n" is the generated reset signal in the design. I have look up the violation report that "clk" and "rst_n" are the high-fanout nets...
  5. J

    a problem in pre-simulation with annotating sdf

    sdfnl1 Hi, all. I have some probem in pre-simulation. When i simulate the gate-netlist with no sdf information, the waveform is OK, but when i simulate the gate-netlist with sdf annotation, the clock signal wave is wrong ( for example, dem_clk is one of clock signal in my design ,and it's...
  6. J

    is it a bug of Design Compiler ?

    but when "din" reach the cell slower than "en“ or when din is "x" state sometimes , the dynamic simulation indicate that dout will always be in "x" state.
  7. J

    is it a bug of Design Compiler ?

    search output design compiler there is such a code in my design, but when i synthesize the code, i find the result is wrong. What's the problem? is it the problem of my code or it's a bug of DC? the target library is slow/CSM25. the code: always @ ( posedge clk or negedge rst_n) if(!rst_n)...
  8. J

    Book for Verilog Synthesis using Synopsys Design Compiler

    synopsys verilog for synthesis you can read synopsys tutorial book or paper. it's completely.
  9. J

    How to do system design and verfication of video decoding ?

    How to do system design? i think matlab is good for you
  10. J

    why ?the large difference between dc and synplify?

    if your RTL code is ok , set timing exception to the path in your constraints.
  11. J

    Verilog coding for ASIC vs FPGA

    i think coding style depend on the tools.
  12. J

    How to decide the fanout?

    i can find the default value in your process library, and you can define the value according to your design based on the value
  13. J

    Who can tell me the meaning of sign off?

    it means you have done over your work successfully and somebody else will do the following work
  14. J

    how can I evaluate number gates used in my design

    and during VDSM optimization in backend design, size of cell maybe changed, so the area report by DC is only a estimate value
  15. J

    I need some help on Synopsys constraints.

    one port "reg[7:0] data" is an inout port ~~~~~~~~~~ is it right for define an inout port?

Part and Inventory Search

Back
Top