Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jaydip

  1. J

    Setup and Hold check in Primetime ?

    oh !! got it .. thanks .. would like to summarize this: - if amount by which delay scales down from worst corner to fast corner is not same for data and clock paths, and if data path scales down lesser than clock path, we may see setup violation under best corner ... here is an example...
  2. J

    Setup and Hold check in Primetime ?

    @lostinxlation in ur example also setup violation (3 ns) is more in worst corner only NOT in best corner .. what interests me more is scenerio asked by agrey, is it possible to violate setup at best case (fast corner) and vice versa (violate hold at worstcase, slow corner) ???
  3. J

    How are stare retention flip flop/registers implemented in hardware?

    state retention flop i dint understand much from this .. but here is a link .. **broken link removed**
  4. J

    The advantages of scan chain balancing in DFT

    Scan chain balance i guess, ATPG tool will have nice time if chain is balanced no of flops wise ..
  5. J

    [SOLVED] How to tell the synthesis tool to use a specific gate

    RC has a attribute called, set_prefered_cell or something similar .. search in command reference ... not sure about DC though ...
  6. J

    [SOLVED] VLSI design project ideas for final year

    i would suggest to design Intel's 8255, 8237 etc designs .. those are the very basic but includes all complexities one wishes to have ... also, those are protocols you must have studied already, u need not read protocol docs as well .. all the best ..
  7. J

    Violation during Synopsys Design compiler

    can you paste your .synopsys.dc_setup file?
  8. J

    Violation during Synopsys Design compiler

    this means your .lib (target library) does not have scanable cells .. one option is, remove "compile -scan" option from script ...
  9. J

    warnings in design_vision

    can u post ur RTL code??? without looking at ur code, i can tell onething: ur design has timing loop (feedback loop) and so, DC has broken this timing loop by disabling specific path (timing arc)...
  10. J

    where to insert the lockup latches

    if these paths are clock domain crossing paths (defined as false path in functional mode), lock-up latch takes core of hold violation in test mode and synchronizers takes care of hold violations in functional mode .. what are others path which are false in functional mode and valid in test...
  11. J

    design compiler retiming questions

    if you read command description for optimize_register command, it clearly tells that in presence of set_max_delay (and some more commands) retiming will be limited and infct sometimes it may worsen the timing .. reason behind this restriction also seems quite logical to me .. u do one thing...
  12. J

    Is tristates presence in the design decreases fault coverage

    you will have to make tristate bbuffer transparent when in DFT mode .. same like your internal reset and clock .. try that and see if coverage is increased .. also it depends how many tri states you have and where exactl they are seating in chip ..
  13. J

    where to insert the lockup latches

    see, if functional path is valid, why will anyone define it as a false path?? and if it functional path is valid, hold and setup violation will anyhow be taken care .. is it not?
  14. J

    Reg: Issues that Physical designer reports to Synthesis team

    Timing not metting on so and so path because DC had used optimistic delay values, is very common problem PD team reports ....
  15. J

    design compiler retiming questions

    what commands are you executing on dc_shell prompt? in your constraints file you would find false_path which could be preventing dc ..

Part and Inventory Search

Back
Top