Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Husoo

  1. H

    Can I group certain conditions using CASE statements?

    Re: Beginner's Questions try this style: process variable Code_of_Operation : INTEGER range 0 to 2; constant Variable_1 : INTEGER := 0; begin case Code_of_Operation is when Variable_1 | Variable_1 + 1 => Operation := 0; when Variable_1 + 2 => Operation := 1...
  2. H

    implementing a delay in FPGA

    first, consider if delay is really needed. And consider again... if delay falls into the domain of one of your applied clocks then choose ff, shift register or counter to implement the delay. if you are not able to use clock, synthetizers can prevent objects from being optimized. Eg...
  3. H

    Help! My error: Found logic contention at 40ns on node 'D0'

    found logic contention at time bus node i am not expert with max, but i am sure it is not license matter. actually, what is your problem with bidir.vhd? by, husoo ps.: your e-mail address does not work...
  4. H

    Help! My error: Found logic contention at 40ns on node 'D0'

    find logic contention in first line, modify your testbench; you cannot read and write in the same time on the D bus, as i see. No busmaster does it so and now, your bench should behave like an MCU or whatever, i suppose. hope the code below could be applied for your cpld. entity cpld is port(...
  5. H

    Help! My error: Found logic contention at 40ns on node 'D0'

    8051 logic contention at 40ns your process is active thanks the sensitivity list for changing. d in (ff) will conflict d out(BufA). although, the read part of the process does null, this only means d out keeps the previous state: BufA. (i think it is not the only one event during the course of...
  6. H

    Problem with defining the Inout port in VHDL

    Re: VHDL Problem synopsis fpga express can except: a small skeleton if you want a bidirectional bus/port: entity fpga is port( ... bus : inout Std_Logic_Vector(n downto 0); rd_neg: in Std_Logic; ... ); end fpga; architecture fpga_arch of fpga is -- signals for the internal registers busin...
  7. H

    [vhdl] both rising_edge(c) & falling_edge(c) in one proc

    rising_edge falling_edge sorry, but y do u need to do so? u drive 2 different signals... u can put them into seperate processes as usual. Omitting the reset: process1(Clk) begin if rising_edge(Clk) then signal1<=... end if; end process; process2(Clk) begin if falling_edge(Clk) then...
  8. H

    [vhdl ] how to deal with unused state in FSM? thank!!

    use them! :-) i mean to say that you should get them connected into the state machine flow. for example, if the SM steps into one of the unwanted states, make a transition into the reset or even better a known state to signal this problem. however, maybe..., better solution to code the states...
  9. H

    Question about sram control (VHDL)!! thanks!

    ram control vhdl why do u use ram? what for? if the fpga is fast enough to read such a speed like ADC goes then why do u store temporarily samples in ram? just write in and read out. It doesnt make sense to me... or I dont see every detail? by!
  10. H

    Clock multiplier in CPLD

    cpld clock multiplier in cpld 95xx there is no resource to multiply frequency, so u should build up an asynchronous freq multiplier or change cpld to another type, use fpga with dll, change external freq, or ... Anyway, with asynchronous circuit u can only double the main freq afaik.
  11. H

    How to make a cheap FPGA development board?

    Re: FPGA Development Board http://www.digilentinc.com/ **broken link removed**
  12. H

    need help from vhdl developer?

    vhdl std_logic-to-boolean try to use if-clause instead to_bit() e.g.: if cond the vga <='1'; else vga <= '0'; end if;

Part and Inventory Search

Back
Top