Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
entity concurr is
port (a:out bit;b:in bit);
end concurr;
architecture Behavioral of concurr is
signal z,t:bit;
begin
a<=postponed b after 10ns;
end Behavioral;
Why there is error in the following line
a<=postponed b after 10ns;
If we use tristate2logic we can implement internal tri state logic's in xilinx fpga's with the help of multiplexer. how can we implement a tri state logic with the help of multiplexers
logic [23:0] array[28:0]='{9{24'h010000},10{24'h010000},10{24'h008000}};
array[28:20] should have the value 24'h010000
array[19:10] should have the value 24'h010000
array[9:0] should have the value 24'h008000
How to calculate transfer function between input and output in mdac for finite gain of the opamp and finite input capacitance CIN.
- - - Updated - - -
This is the circuit diagram
`define print(v)\
$display("var v=%h",v)
module try();
reg [3:0] test1=4'b1111;
initial begin
`print(test1);
end
endmodule
The above code is valid in system verilog but in verilog it will give the error
$display("var v=%h",v)
|
ncvlog: *E,EXPMPA (1.v,2|7): expecting the keyword...
or at least this
Verilog allows the quotation mark ( " ) to be used in a ‘define
macro, but the text within the quotation marks became a literal
string. This means that in Verilog, it is not possible to create a string
using text substitution macros where the string contains embedded
macro...
i am trying to apply this
‘" allows macro SystemVerilog allows argument substitution inside a macro text
argument string by preceding the quotation marks that form the string with a
substitution grave accent ( ‘ ). The example below defines a text substitution
within strings
macro that...
i have written these lines in try.vh
`define print(v) $display("var v=%h",v)
and these lines in try.sv
module try();
reg [3:0] test1='1;
`print(test1);
endmodule
still i get the same error
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.