Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hulk789

  1. H

    Error in simulation of comparator in tanner eda

    Can somebody help me out debug the issue of non convergence. error T-Spice - Tanner SPICE T-Spice - Tanner SPICE Version 14.11 Network license Product Release ID: T-Spice Win32 14.11.20090811.05:10:58 Copyright © 1988-2009 Tanner EDA Parsing...
  2. H

    error in a<=postponed b after 10ns;

    entity concurr is port (a:out bit;b:in bit); end concurr; architecture Behavioral of concurr is signal z,t:bit; begin a<=postponed b after 10ns; end Behavioral; Why there is error in the following line a<=postponed b after 10ns;
  3. H

    Meaning of statement/ operation performed in following system verilog code

    for (i = 0; i < 8; i = i + 1) begin test[8*i+7-:8] = array[326+i];// What is the operation performed? end What operation does 8*i+7-:8 perform?
  4. H

    How to write 2:1 multiplexer in veriloga

    How to write 2:1 multiplexer in veriloga ? What would be the difference in the code if vams is used?
  5. H

    can we generate sine wave in fpga without look up tables

    can we generate sine wave in fpga without look up tables?
  6. H

    How tristate2logic works in xilinx fpga's

    If we use tristate2logic we can implement internal tri state logic's in xilinx fpga's with the help of multiplexer. how can we implement a tri state logic with the help of multiplexers
  7. H

    [SOLVED] how to assign the following array

    logic [23:0] array[28:0]='{9{24'h010000},10{24'h010000},10{24'h008000}}; array[28:20] should have the value 24'h010000 array[19:10] should have the value 24'h010000 array[9:0] should have the value 24'h008000
  8. H

    How to calculate transfer function

    How to calculate transfer function between input and output in mdac for finite gain of the opamp and finite input capacitance CIN. - - - Updated - - - This is the circuit diagram
  9. H

    What is HSC2 Card and its use

    What is HSC2 Card in fpga implementation?
  10. H

    uwire in system verilog

    What is uwire(unresolved wire ) in system verilog .how is it different from normal wire?
  11. H

    [SOLVED] how to write multi line macro in verilog

    `define print(v)\ $display("var v=%h",v) module try(); reg [3:0] test1=4'b1111; initial begin `print(test1); end endmodule The above code is valid in system verilog but in verilog it will give the error $display("var v=%h",v) | ncvlog: *E,EXPMPA (1.v,2|7): expecting the keyword...
  12. H

    [SOLVED] what is wrong with the following code

    or at least this Verilog allows the quotation mark ( " ) to be used in a ‘define macro, but the text within the quotation marks became a literal string. This means that in Verilog, it is not possible to create a string using text substitution macros where the string contains embedded macro...
  13. H

    [SOLVED] what is wrong with the following code

    i am trying to apply this ‘" allows macro SystemVerilog allows argument substitution inside a macro text argument string by preceding the quotation marks that form the string with a substitution grave accent ( ‘ ). The example below defines a text substitution within strings macro that...
  14. H

    [SOLVED] what is wrong with the following code

    i have written these lines in try.vh `define print(v) $display("var v=%h",v) and these lines in try.sv module try(); reg [3:0] test1='1; `print(test1); endmodule still i get the same error
  15. H

    [SOLVED] what is wrong with the following code

    can you give a simple example how would you do the thing with filenames and code in it

Part and Inventory Search

Back
Top