Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hobbskw

  1. H

    Any idea why the filled the holes for my component's pins?

    This seems like the most likely cause. I found Altium generates a separate file for the slotted holes, although I am not sure what I did differently to make it do this. So, hopefully now it works. I am about to send the files for manufacture. We'll see!
  2. H

    Any idea why the filled the holes for my component's pins?

    Greetings, My PCB has a USB connector that has two holes that go to the USB shield and help keep the connector firmly connected to the PCB. But when it arrived, I found that they had filled these holes! So I had to clip off those legs to solder it, and just solder them to these sort of pads that...
  3. H

    Interfacing to FT260 for PC UART communication

    Greetings, Background/Context/Goal: My goal is to open a simple UART session, be it in Putty or any other software, so I can see print statements (from the FPGA to the PC) for debugging my programs on the FPGA. I am testing this with the "Hello World" sample application in Xilinx SDK I am using...
  4. H

    How to derive the output equation for two series op-amps?

    Greetings, I am trying to figure out the overall gain of this circuit analytically. Simulations and experiments both show that it is 0.0832, but how do I go about calculating this by hand? I would greatly appreciate any help!
  5. H

    [SOLVED] How do you use variable real numbers?

    After some messing around with the code I found a solution. Unfortunately there are timing errors with my implementation, but it removed the error I was struggling with. My solution was to change the values inside of the lookup table by dividing each by 1000. So I hard-coded those decimal...
  6. H

    [SOLVED] How do you use variable real numbers?

    Greetings, I am using a sinewave lookup table to generate an SPWM signal. I am adding a soft-start feature where the modulation index starts at 0, then after after 10 seconds it starts to gradually increase to 0.1 over 20 seconds. The problem is it does not like having a variable real number...
  7. H

    [SOLVED] Could someone help me find where the combinatorial loop is in my code?

    Clicking the link opens this view: I can click "view schematic" to see the loop itself, but I am not sure where this happens in the Verilog.
  8. H

    [SOLVED] Could someone help me find where the combinatorial loop is in my code?

    Greetings, I am trying to generate a bitstream, but it is telling me there is a combinatorial loop occurring with pwm1N, pwm2N, and pwm3N. It does not say where/how/why this happens in the code, so I am at a loss for how to fix this. Is there someone who could help me identify where/how it is...
  9. H

    [SOLVED] How can I resolve this multi-driven net problem in Verilog?

    Thank you for the helpful reply! Like you said, I had to change it to trigger the deadtime timers in the clk's posedge. Had to sleep on it, but today I thought up a way of doing it. Now it generates the bitstream successfully.
  10. H

    [SOLVED] How can I resolve this multi-driven net problem in Verilog?

    Hello, I implemented a program that outputs SPWM signals with deadtime. The deadtime works like this: On every negative edge of 1P, set deadtimer1N = deadtime On every positive clk edge, if deadtimer1N != 0 then set deadtimer1N = deadtimer1N - 1 This works perfectly in simulation, as I show...
  11. H

    [SOLVED] Verilog/Vivado/FPGA Error for sinewave LUT: Single value range is not allowed in packed dimension

    Since the error is resolved, thanks to ads-ee's help, I'll mark as solved and ask my last question separately. Thank you very much for helping!
  12. H

    [SOLVED] Why is my Verilog not generating a triangle wave with a counter?

    Hello Barry, Thank you, yes it was not liking me comparing reg to integer. It was also having some problems when counter reached below 0. I changed everything to integer, and also shifted everything to stick to positive values, and it finally works. Thank you for your help!
  13. H

    [SOLVED] Why is my Verilog not generating a triangle wave with a counter?

    Hello, I have written code to generate a simple triangle wave that varies from -1250 to 1250 (-MAX to +MAX). I used MATLAB to verify that the logic itself works for generating a triangle wave, by copy/pasting the code into MATLAB and making minor syntax changes so it would work in that...
  14. H

    [SOLVED] Verilog/Vivado/FPGA Error for sinewave LUT: Single value range is not allowed in packed dimension

    Thank you for your helpful response! Would addr simply be initialized like this? reg addr; How would I use this? Do I just set addr to every value from 0 to 49 and then I can call sine[x], with x being some number from 0 to 49?

Part and Inventory Search

Back
Top