Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by harshmane

  1. H

    BCD to binary conversion verilog code

    Hello i am having these verilog code for 16 bit binary to BCD conversion . I am not getting the algorithm or method used for it I have uploaded file for it.
  2. H

    difference between scan flop and normal flop(non scan flop).

    difference between working of scan flop and normal flop . how it effect the current design Scan flop and non scan flop . if any good docs on this topic . please share it here.
  3. H

    DDR2,DDR3 documentation

    i want to read about dram right from basic to ddr, ddr2,ddr3 if any is having good document with in depth explanation so please share it or post link of it. I want it because i have to prepare it for my presentation.
  4. H

    physical design (VLSI) job or internship in big company

    please members in taking decision wat to do whether to join job in physical design or to go for internship in big company.
  5. H

    joining sandeepani VLSI course on 23 feb

    hello puneet i am sending you mail my mail id gurudevmane(at)gmail.com
  6. H

    joining sandeepani VLSI course on 23 feb

    I want to know which tools sandeepani ppl are using is it really going to help me out.
  7. H

    joining sandeepani VLSI course on 23 feb

    THNKU Plz give me ur mail id & r u in bengluru
  8. H

    joining sandeepani VLSI course on 23 feb

    hi i m goin 2 sandeepani for course after long discussion & research i choose sandeepani lets hope i took rite decision
  9. H

    maven vlsi rn or sandeepani 's vlsi design & verification

    i don tknow about faculty member.
  10. H

    maven vlsi rn or sandeepani 's vlsi design & verification

    hi all i got admission in maven's VLSI - RN course & sandeepani ;s vlsi design and verification course now which 1 i shu d go plz 2 days r left plz help me in taking decision.......
  11. H

    help for decision in career in VLSI

    now i got reply from sandeepani and maven both now wich 1 i shud prefer...???
  12. H

    help for decision in career in VLSI

    wat about Maven in banglore is it good or not.. as i am fresher i shud go for verification ( frontend) in VLSI ...
  13. H

    help for decision in career in VLSI

    hello friends i have done B.E in E.C in 2010 now working as lecturer but i want to enter in industry so which course in VLSI i should do.... VHDL or on verlog or on system verilog..... which course wud give me gud job
  14. H

    study material on "antenna" as a subject.....

    i want study material on antenna nything lyk ppt, pdf , video tutorials link book solution manual or sites name . As i m workin as a lecturer i gott antenna as a subject to teach students 4 dat plzzz help me....
  15. H

    Microwave Engineering

    if ny 1 is havin jordan balmain book link....

Part and Inventory Search

Back
Top