Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by harinisas

  1. H

    [Moved] Interrupts in ARM lpc2378.....Urgent please!!!!!!!!!

    Hi all i have written a simple code for IRQ interrupt which should display one led pattern before interrupt and other after interrupt has occurred. the interrupt is an external interrupt EINT0. i dont have any errors in code i even made changes in the startup file but even then i am not able...
  2. H

    coniguration modes in fpga

    hi i using spartan 6 and i have gone through the user guide but on board i am unable know how the connections are made please me with dat
  3. H

    coniguration modes in fpga

    i have recently started working on fpgas, now i have gone through basics of configuration modes but i didnt get how i am going to configure fpga i.e for example INIT should go high for intializing the configuration process but how am i going to make this happen where should i write it, in the...
  4. H

    can logical link control layer implemented on fpga?????????

    can we implement only logical link control layer, a sub layer of data link layer be implemented on fpga board and what functions should we implement on fpga (eg:protocol multiplexing, flow control).??????????
  5. H

    how pcie works in fpga

    Hi, i am new to fpga and i have zero knowledge on working with them, i am doing a project where i need to transfer the data from pc to fpga and from fpga to pc through pcie. so how does this process happen- like should we write code in hdl for transferring or receiving data from fpga if...
  6. H

    help me to write code for following circuit

    i have already implemented carry look ahead adder in structural model but when i am giving a feed back loop with register its not taking the previous value
  7. H

    help me to write code for following circuit

    here i am not using normal addition the addition module is carry look ahead adder
  8. H

    help me to write code for following circuit

    in this circuit the adder is a carry look ahead adder
  9. H

    help me to find error in this verilog code!!!!!!!!!!

    ok, my carry in for carry look ahead adder is always zero so i have taken it has zero. I am not able to store the values in the register its like a loop even if i am writing the code as a accumulator.
  10. H

    help me to find error in this verilog code!!!!!!!!!!

    when i am simulating this code there are no errors but the output of highlighted code is not correct i am not getting where it is going wrong.the adders are 16 bit carry look ahead adders and a d flip flop.at the larger font part i am resetting the adders but befor that i want the inverted...
  11. H

    verilog code for following circiut?? help me!!!!!!!

    code for the circuit help me!!!!!!!1 hi i am writing a code for a circuit where the input to the 16 bit carry look ahead adder is output of the d flipflop and input to the flipflop is output of the adder its like a loop when i am writing the code i am unable to see the output so please help me...
  12. H

    verilog code for following circiut?? help me!!!!!!!

    hi i am writing a code for a circuit where the input to the 16 bit carry look ahead adder is output of the d flipflop and input to the flipflop is output of the adder its like a loop when i am writing the code i am unable to see the output so please help me its urgent i want the code in verilog.
  13. H

    which is best?

    Which type of modelling(i.e data, behavioural, structural) for programming in verilog with respective speed, performance and computation time.

Part and Inventory Search

Back
Top