Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by guiliu

  1. G

    Testbench compiling error: Identifier 'unsigned' not visible

    vhdl identifier unsigned is not directly visible. Thank u so much, vji_vlsi. I have tried to compile with different libraries. I found that the library ieee.std_logic_unsigned.all does nothing to do with the Identifier 'unsigned' is not visible problem. The problem happens on...
  2. G

    Testbench compiling error: Identifier 'unsigned' not visible

    identifier unsigned is not directly visible Yes, it is VHDL, I use Modelsim as simulation tool, here are the library clauses. library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; Thanks in advance!
  3. G

    Testbench compiling error: Identifier 'unsigned' not visible

    modelsim identifier is not directly visible. I used type 'unsigned' for my entity, and every thing was fine for compilation, but when I tried to compile the testbench (the component is exactly same as the entity), it sais Identifier 'unsigned' is not visible. Making two objects with the name...
  4. G

    How can we create our own library for Modelsim?

    Re: regarding MODELSIM to create a new library from GUI: 1. select File>New>Library, and type the library name; 2. compile the source library file and save it; 3. select File>New>Library, and select a map to an existing library, type the library name of step 1, and browse to the library...
  5. G

    Looking for access to Synopsys SolvNet

    solvnet id and password You need the side id (ask the synopsys tool administrator from your institute) and a proper email address to register for solvnet.
  6. G

    What is the .ini file in ModelSim?

    Re: Regarding ModelSim It can be, if you import library to ModelSim from a project through GUI, then there will be another .ini file generated unter your working directory. Next time, when you creat a new project, ModelSim will ask you which .ini file you are going to use, the default one, or...
  7. G

    how to set many libraries to Modelsim simulatio environment?

    (vsim-3170) Thank u, aji_vlsi But umcl25u250t3v is the resource library for gate_level simulation, if this is not identified, there will be no default binding for my gate. Of cause I can use library clause inside of the netlist to indicate this resource library, but for big designs, this...
  8. G

    How can I do power consumption estimation with VCD file?

    power compiler vcd files The search_path and link_library variable specifies where and in what order PrimePower looks for design files and library files for linking the design. The .db file is a library used for linking.
  9. G

    how to set many libraries to Modelsim simulatio environment?

    # ** error: (vsim-3170) could not find Hi, yln, thank u so much for your reply. I have add the library (umcl25u250t3v) to modelsim.ini, and it seems that the library is succesfully imported to Modelsim (from the ModelSim GUI). But there is still a problem... I created the following sum.do...
  10. G

    How can I do power consumption estimation with VCD file?

    voltage storm fsdb For example, you can use PrimePower to do gate-level power analysis using VCD as swichig activites. The sample scrip is like follwing: set search_path "/home/SumTest /cad/umc/umc25_2002/core_v1.2" set link_library "* umcl25u250t3_typ.db" read_vhdl -vhdl_compiler...
  11. G

    How to calculate power consumption During the Gate Level Sim

    power analysis gate level Besides, PrimePower can do very accurate Gate-level power analysis. PowerCompiler can do power analysis and power optimization for both RTL and Gate-level. The swiching activites can be in VCD or saif format.
  12. G

    PowerCompiler - Operand Isolation problem

    Thanks, kbulusu Why should I use tcl mode? I think these are dc_shell commands. Am I wrong?
  13. G

    What is the internal power in power consumption?

    Re: internal power problem The dynamic power of a circuit is composed of two kinds of power: 1. Switching power 2. Internal power Internal power is any power dissipated within the boundary of a cell. During switching, a circuit dissipates internal power by the charging or discharging of any...
  14. G

    how to set many libraries to Modelsim simulatio environment?

    vsim-3170 I have a lot of resource libraries, which are used for gate-level simulation. It is not possible to compile them one by one. How can I set them into Modelsim simulation environment at the same time? Thanks a lot!
  15. G

    PowerCompiler - Operand Isolation problem

    I am doing power optimization with PowerCompiler Operand Isolation technique. When I issue the command: set_operand_isolation true or : set do_operand_isolation true I got the error message sais: undefined operator near "set". Why these commands are not recognized? Thanks in advance!

Part and Inventory Search

Back
Top