Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Gray Rosewood

  1. G

    3 bit dual mode counter in Verilog

    Greetings, I need guidance to code a question with the requirements listed on the following: Design a 3-bit dual mode counter that counts (i) even number in increment order when select=1; (ii) odd number in decrement order when select=0; Apart from input select, the counter has a synchronous...

Part and Inventory Search

Back
Top