Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Gayathrirani

  1. G

    Error in launching to hardware in SDK

    I am trying to implement a LED blinking program using EDK.I have selected GPIO LEDs(datawidth 8) and updated UCF files.When i try to launch it on hardware ,the error "MicroBlaze is under reset.Check if the reset input to MicroBlaze and its Bus interfaces are connected prpoerly" appears.I am...
  2. G

    $fwrite : Argument 1 is an unknown file descriptor

    I have encountered the following error while executing the below mentioned code $fwrite : Argument 1 is an unknown file descriptor I have tried to store the computed values in two separate text files..In the following code the values of...
  3. G

    Memory Conflict in Xilinx

    Thank you all for the suggestions.My coding style is software oriented since I'm more practiced with software type codings and I'm new to verilog.Now i corrected the coding .I replaced the software style" repeat and if "statements with digital circuits like leading one detector and Priority...
  4. G

    Memory Conflict in Xilinx

    I've written verilog code for Pupil localisation(for iris recognition).The simulation is done.It synthesizes for the following code.But when i attach a few extra lines(given below) for further computation the folowing error occurs. Portability:3 - This Xilinx application has run out of memory or...
  5. G

    Writing data from memory into a text file

    Thank you for your suggestion.I changed the code accordingly.Now the error is "(18): $fwrite : Argument 1 is an unknown file descriptor" module file_readmemh_1; reg [7:0] data_1 [0:1023]; reg [7:0] data_th [0:1023]; integer j,bin; parameter th=8'b1000110; initial begin...
  6. G

    Writing data from memory into a text file

    I've read data from txt file(containing pixels of image),Binarize it.Now i want the binarized output to be written into another txt file.Below is my coding.When i run it, i get the following error. "# ** Error: (vsim-8323) D:/G/Reference materials/Sample codes/Iris Localization/imgbinary.v(25)...
  7. G

    How to write a Verilog program to read binary data from text file?

    I've done reading part alone.Here is the code to read 1024 bytes fom memory.The image should be first converted to text with hex values using MATLAB. module file_readmemh_1; reg [7:0] data_1 [0:1023]; initial $readmemh("lenaimghex.txt", data_1); integer i; initial begin...

Part and Inventory Search

Back
Top