Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by gawad

  1. G

    Equation optimization problem

    optimization problem during design (hand analysis), we have many equations in many parameters & our objective is to find the optimum solution of these equations, which leads to, for ex, min delay, min power, ....... 1-can we do that using matlab? 2-is there any free software for that...
  2. G

    How to add the MOS parasitics in Cadence?

    MOS parasitics how to add the mos As,Ad,Ps,Pd (area of source & diff, perimeter of ....) in cadence? i.e. what are their equations? and can i enter them as equations? or i have to change them every time i change a mos dimensions.
  3. G

    How to use the s-domain using square wave generator(Matlab)?

    matlab i'm trying to use the s-domain in matlab(not simulink), but i'm using a square wave generator(time domain), so i have to make a fourier transform to it, then multiply it by the system transfer function to see the output spectrum, how it could be done?, & how to display the spectrum...
  4. G

    Help me with designing multiplexer using SCL

    SCL in designing a multiplexer using SCL, the output of the first stage is low voltage swing & is near to Vdd, how it can be used as an input to the next stage, i.e. both the output high and low states will make the controlled mos ON, not specifying one path for the current! also, how to...
  5. G

    Can the eye diagram be plotted using Cadence?

    eye diagram can we plot the eye diagram using cadence? Regards;
  6. G

    frequency spectrum using cadence

    1- how to plot the frequency spectrum of a signal using cadence? 2- how to define a model permenantly, not every time opening Analog environment? Regards;
  7. G

    How to simulate VHDL code that write an output to a screen?

    VHDL Questions 1- how to simulate VHDL code that write an output to a screen? 2- what is the importance of that code and how it can be used practically?
  8. G

    Looking for some VHDL examples to practice

    vhdl examples dear all, I,ve finished studying VHDL, and i need to practise, so i need some examples not so difficult and also not so easy as fulladder.... please specify a link thanks for help,
  9. G

    layout physical description

    I need a ( simplified ) physical description to the layout and its layers, connections, how wiring overlap problem is solved?, placing, routing, .........
  10. G

    ASIC min. reguired knowledge

    dear all, i've found two books on ASIC 1- THE ASIC HANDBOOK www.amazon.com/exec/obidos/tg/detail/-/0130915580?v=glance 2- Application-Specific Integrated Circuits www.amazon.com/exec/obidos/tg/detail/-/0201500221?v=glance which is better as a start, and what is the min knowledge required...
  11. G

    I need motors introduction, operation theory, types, control

    robots abc dear all, I,m planning to make a line follower robot, but i don,t know so much about motors, so .. where can i start ? i need motors introduction, operation theory, types, control methods .......and so on, could anyone help? thanks.

Part and Inventory Search

Back
Top