Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by flammmable

  1. F

    Is it possible to make an active timestamp with the $display system task like in ModelSim?

    There is a usefull feature in ModelSim/QuestaSim: if we will write on SystemVerilog something like this: $display("Time: %0d ns", 123); ...the transcript console will shows next string: # Time: 123 ns And then if we will click on this string the cursor in the waveform viewer will jump to 123 ns...

Part and Inventory Search

Back
Top