Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by femystika08

  1. F

    Veriloga library definition error

    Hi guys, I created a module in veriloga where I used some resistors and capacitors etc.. cap #(.c(1)) Cx(x, gnd); res #(.r(1T)) Raux(x, gnd); res #(.r(Rofff)) Roff(aux, minus); vdc #(.vdc()) Emem(plus,aux); idc #(.idc()) Gx(gnd, x); when I save the file I get this log from the CIW saying the...
  2. F

    Spice to cadence translation - Define vccs in cadence

    Hi guys, I'm trying to translate the model of a this circuit from spice to cadence * HP Memristor SPICE Model * For Transient Analysis only * created by Zdenek and Dalibor Biolek ************************** * Ron, Roff - Resistance in ON / OFF States * Rinit - Resistance at T=0 * D -...
  3. F

    Help with reading pixel values from an image for DCT core

    Thanks for your reply Tricky, Any thoughts on Timing? I Know I have to give 8 bits row-wise on every clk impulse meaning 64 clks for each block. Could you please explain to me what kind of buffers I need and how to implement them according to the input and output buffer block diagrams.
  4. F

    Help with reading pixel values from an image for DCT core

    I am trying implement a 2D DCT core. I need an input buffer(RAM ) which loads 8x8 blocks into DCT core in row by row mode and an output buffer which stores 8x8 blocks of DCT coefficients in row by row mode. I want to instantiate and initilize FPGA ram with the pixel values. I have a...
  5. F

    [SOLVED] verilog to vhdl translation

    Hi, I recently translated a code from verilog to vhdl and got some syntax errors. verilog code `timescale 1ns/10ps module dct_mac( clk, ena, dclr, din, coef, result ); parameter dwidth = 8; parameter cwidth = 16; parameter mwidth =...
  6. F

    [SOLVED] ERROR: HDLParsers:3312

    Everything went fine... Thanks again.
  7. F

    [SOLVED] ERROR: HDLParsers:3312

    Oh my... Jeez. How could I have missed that. I'll fix that and get back to you. Thanks!
  8. F

    [SOLVED] ERROR: HDLParsers:3312

    Here's the top-level architecture I'm trying to synthesize. When I synthesize a VHDL design, the VHDL parser reports one of the following errors: Thanks for your help.

Part and Inventory Search

Back
Top