Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by DFT_designer

  1. D

    need help in lfsr project

    Hi, I have many comments on your code: 1) You are using synchronous process without reset: always @(posedge clk), this is not good you should add a reset input to reset flip-flops. --> always@(posedge clk or negedge rst) for example 2) You are using a for loop which is not good also for...
  2. D

    why to insert wrapping cores in DFT

    Hi, Do you mean wrappers according to a test standard: like IEEE 1500 or just DFT insertion when doing synthesis ? I think you are speaking about the second. In fact scan insertion deals about making a serial scan chains wich are tested by shifting data serially. The synthesizer insert scan...
  3. D

    [SOLVED] Can cadence NCsimulator initialize unresetable flip/flops?

    Hi, Is your question: can ncsim force values in the simulated design ? My answer is yes, it is feasible. To do it you have to reset the simulation, select a signal from the "design browser" not the "waveform window", right click choose the "create force" command, select a value, run the...
  4. D

    [SOLVED] generating random bits on verilog

    Hello, Is it for simulation only purpose ? Because I don't think that such syntax is synthesizable. You can use instead pseudo random pattern generators (PRPG) with easy implementation like LFSR (Linear feed back shift register) but as its name indicate it is pseudo random and not random. It...
  5. D

    Q: has ModelSim wave window hide/collapse/grouping facility?

    In addition you can save your wave with selected signals in a wave.do file (you can save many waves with different selected signals) When you launch Modelsim, you have just to call a wave with "do wave.do" in the command window of Modelsim
  6. D

    SPEF/SDF file for GLS

    Sorry, what I had in mind was prime time for STA. I used it one time with the command read_parasitics. For ncsim, I am not sure I have to check it. Thanks Sameer for your post, Redards
  7. D

    SPEF/SDF file for GLS

    Hi, SPEF is format of extracted parasitics, it can be also under another format like SPBF, ... SDF: standard delay format is a timing format used by simulators. I think that some simulators can read directly SPEF file like ncsim, if not you have just to generate the sdf file which is just a...
  8. D

    protues not connected lines

    I think it is feasible, but it depends on your application. (If you don't need them, just delete them) Firstly you have to start by downloading data sheet of each component, and see operating conditions of each one.
  9. D

    scanning for input request and store it in a queue

    I agree with mrflibble, you have to begin with describing your design in a paper. Probably you are going to use a finite state machine. Once it is correctly defined, its description with VHDL is easy. regards
  10. D

    protues not connected lines

    Componenets are badly placed. Try to reorder them accurately, especially the 2 DIP components: you have to place them a litlle far from each other. You can also, use a top layer route if you dont have a constraint. Then surely the router will be able to route it. Regards
  11. D

    Parameters to decide DIE bump/pad Pitch

    You are speaking about so called SIP: System In Package I guess. I think that there is no standard, technology engineers do it in a way that it function. There are also issues of crosstalk, may be there is relation with this topic. Regards
  12. D

    Question on Operating condition

    Hi, I think that the summary of the question is: Synthesis is done using which PVT lib ? My answer to this question is, the lib should worst case: P=SS (slow slow), V=minimum voltage, T=Maximum temperature (this can change in recent technology nodes) To answer why using this lib ? Because, in...
  13. D

    how can i access signals from differents entities within my code ? ( in VHDL)

    Hello, Same topic opened 2 times by the same member, many answers here: https://www.edaboard.com/threads/295879/
  14. D

    how can i access signals from differents entities within my code in VHDL?

    VHDL is a very rich description language, there are many methods to describe the same circuit. Although your question is not clear, but I can give you 3 possible methods: 1) As said in the first answer by TrickyDicky: declare a principal entity in which you instantiate other entities. An input...
  15. D

    [SOLVED] Verilog: In which case use "Wire" and in which case "Register"

    Re: Verilog: In which case use "Wire" and in which case "Register" Yes I agree about inputs, they cannot be changed, I have badly expressed myself. I agree also with your last comment: a good designer should start with a paper and a pencil, and after that describe it with a description...

Part and Inventory Search

Back
Top