Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by devas

  1. D

    Signal prdata cannot be synthesized, bad synchronous description.

    Hi, Remove the "end if" below the line "pr_data <= (others => '0'); as shown below: READ_REGISTER_PROCESS : process(clk) begin if (clk'event and clk = '1') then if reset = '1' then prdata <= (others => '0'); else case paddr(8 downto 2) is when...
  2. D

    problem with test*bench verilog at models*im

    Hi, When compiling your code with Modelsim I get 2 errors about signal sel. Remember Verilog is case sensitive! After changing this I do not get any compile error in the part you posted above. Devas
  3. D

    Looking for library declaration of IP Module

    Hi, The use-statement given does not point necessary to a package declaration. It points only to a library hwicap_v5_00_a which maybe has only entity/architecture declarations in it. A use statement pointing to a package declaration has the form: use <library>.<package>.<suffix> Your use...
  4. D

    Xilinx synthesis and PNR flow

    Hi Beowulf, Your schematic looks fine to me. I do not know the output file of promgen. I have never used it. I am not aware of a Xilinx document with such a schematic. Even it makes sense for every designer. Devas
  5. D

    Verilog Question about Always sensitivity list

    Hi, always(*) is Verilog-2001 syntax. It simplifies the sensitivity list. * means be sensitive changes on any values which are read in the following group. Devas
  6. D

    [SOLVED] verilog and vhdl in same project, problems

    Hi, When your simulator/synthesizer has mixed language support there is no need for XHDL. Devas
  7. D

    Xilinx synthesis and PNR flow

    Hi, A starting point: - Xilinx Synthesis: XST User Guide (UG627) - Command Line Syntax/Tools: Command Line Tools User Guide (UG628) Devas
  8. D

    [SOLVED] verilog and vhdl in same project, problems

    Hi, You can mix VHDL and Verilog if your simulator and synthesizer supports both languages (is sometimes an add-on feature). In your Verilog code instantiate your VHDL ip-core just like if it was a Verilog module. Devas
  9. D

    How to start implementing transmitter and receiver on fpga using VHDL or verilog

    Hi, Open a text editor and start typing: VHDL: "library ieee; ...." Verilog: "module transmitter(...."
  10. D

    Help regarding error in ise synthesis

    Hi, Line 52: contains a generate statement. A generate statement must have a static (fixed) condition. You use a signal "stepsize" in this condition. A signal is not a static. Change the signal in a constant (static) and this error will be fixed. The same applies for the other generate...
  11. D

    [SOLVED] xilinx core generator

    Hi, CoreGenerator is part of the Xilinx ISE software. You can download it from the Xilinx website. The webpack version is free and includes also CoreGenerator. Devas
  12. D

    problem in initialization

    Hi, I have done a quick simulation with your uart code and in my simulator n_reg will be '0' after reset. From your simulation picture I can not see how long the reset pulse is and how it behaves compared to the clock. Maybe you can post your testbench code also so I can use it with my...
  13. D

    Quartus Ver 4.2 & Nios 3.2 shell - Compiling error !!!!

    Re: Quartus Ver 4.2 & Nios 3.2 shell - Compiling error ! Hi, You use a very old Quartus version. I do not believe that version is supported on Windows Vista. Devas
  14. D

    help with reading a hex file in vhdl

    Hi Haneet, Something like: library ieee; use ieee.std_logic_textio.all; library std; use std.textio.all; architecture xxxx of yyyy is file fin : text open read_mode is "<path to your hex file>"; process(zzzz) variable rdline : line variable hex : std_logic_vector(3 downto 0); begin while not...
  15. D

    help with reading a hex file in vhdl

    Hi, The package std_logic_textio has functions (HREAD) to read hex values from a file. Devas

Part and Inventory Search

Back
Top