Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by dan_vol

  1. D

    SAR ADC unit cap selection

    Thank you for your reply. Yeah, you are right. In the LSB comparison, the comparator input parasitic cap may be comparable with unit cap. But if it's maintained below, let's assume, 1 unit cap. I think, it should be OK for the resolution. In this case, we may assume input parasitic cap=4.8f...
  2. D

    SAR ADC unit cap selection

    Hi, I think the cap in the summing node had better consider all DAC array cap. In the 10-bit 50Ms/s case, the cap in one branch is 2^10=1024*unit=4.9p, which should be much larger than one comparator input transistor parasitic cap. Hope this is helpful. Thanks Daniel
  3. D

    simulate examples in designer's guide to verilogAMS

    The vco problem has been solved, just due to the stop list in the configuration. Symbol or verilogams should be chosen , not spectre. But the resisitor one still can't run. with the error message. Fatal error found by spectre in `worklib+test_res+vams+0x10000001', during circuit...
  4. D

    use of the mouse wheel to zoom in and out in icfb ic5

    Dear adhil: I remember ic5141 has this feature. If not modify the .cdsinit or copy all the files in the $CDS_INST_DIR/tools/dfII/sample/local to $CDS_INST_DIR/tools//dfII/local and change the name of cdsinit to .cdsinit Hope helpful Daniel ---------- Post added at 18:42 ---------- Previous...
  5. D

    Cadence Simulation in Spectre, Generating test bench

    I don't know either. But I wonder why you don't choose ADE since it's simple and direct.
  6. D

    simulate examples in designer's guide to verilogAMS

    What's more, I found the sourcecode of the example in The Designer's Guide Community - The Designers Guide to Verilog-AMS. Listing 22. sinusoidal voltage-controlled oscillator Surprise. Five files included. How can I use them? If just run run-me in terminal, it would say" can't find the file...
  7. D

    simulate examples in designer's guide to verilogAMS

    After reading the chapter 3 and chapter 4 in this excellent book, I want to simulate some examples. However, I can't make them work on my computer . For example, the VCO in chapter 3, (1) I make a new cellview with verilogAmsText type, then fill it with the VCO code and make a symbol. (2) After...

Part and Inventory Search

Back
Top