Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by core2010

  1. C

    SPI CORE Altera Extra Interface to Mcp2515 Can in VERILOG

    Hi, I have a spi core(set as master) installed which has a C interface which controls a Mcp2515(spi) as a slave. Trying to reduce overheads on the system C code, we require an additional Verilog module that will detect when the Mcp2515 interupt pin goes low, and through the same SPI core which...
  2. C

    500Khz to 5-10Hz verlog LED divider

    ==============
  3. C

    Design choice Cpld/ FPGA ,for extending IRQs 16/32 bit bus.

    Hi, 1.) Can a interupt contoller function be carried out simply in a cpld, to extend IRQs avaiable in a current system with a host sbc 16bit archectecture,(mach 4a5). 2.)Would a interupt controller function be better implemented with a Fpga and using a bridge and host sbc, with 32 bit...

Part and Inventory Search

Back
Top