Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Bulma

  1. B

    How to list all instance path from ncsim cmd?

    Hi all, I use ncverilog to simulate & usually debug from ncsim cmdline. Its obvious that the tool can check all the object path names (for example when we dump wave it can check path name validity) but I cant find any tcl command that help me list all instance path names (a kind of object...
  2. B

    E as the verification language in comparison with others

    E is the only one? So anyone here used E in an NC tool ? How does it work ? So we can compile & simulate design code (write in Verilog) & testbench code (write in E) in the same tool at the same time ? Thanks for any reply !
  3. B

    E as the verification language in comparison with others

    Re: E is the only one? Hi ! I wonder which Cadence tools support "E" along with Verilog ?
  4. B

    Which Cadence tools support fully SystemVerilog ?

    :D Actually, it's not kidding idea at all. Waititng for Cadence IUS to mature for some more time is a good option. Thanks aji_vlsi !
  5. B

    Which Cadence tools support fully SystemVerilog ?

    Thanks all for your replies ! Hi aji_vlsi, thanks for your detailed & helpful reply. I've found some info about IUS, its lately version support almost all basic & strong features of SV which can improve our Verification performance. However there're also many possitive comment about...
  6. B

    Which Cadence tools support fully SystemVerilog ?

    vcs/questa for systemverilog Hi all, I have some questions really need your helps : I've heard of Cadence tool named IUS. It seems to strongly support SystemVerilog. Anyone here tried this tool please share your experiences ... 1. Does it support all features & constructs of SystemVerilog ...
  7. B

    Verilog 5.5 works much slower than Verilog 3.4 !

    I have compared the running time between Verilog 5.5 & Verilog 3.4 in both RTL & GATE levels. And the result is Verilog 5.5 work much slower (nearly 3 times). Even when System Verilog pack is not included. The new version ofcourse has more features and so more libs added. But running that slow...
  8. B

    NCVERILOG Ver 5.5 Help !!!

    OK, I finally installed NC Verilog 5.5 and the result is most of my old testcase Gate-Level stucked in this new version with this type of ERROR : ncelab: *internal* (static_merge_roots - non multi event to munti event not good!). Anyone has some experience with this ? Plz help !!!
  9. B

    NCVERILOG Ver 5.5 Help !!!

    ncvlog.pdf Anyone know where I can get some NCVerilog 5.5 spec/docs, please help me ! I need it so much ! Thanks & a 10_point award !!!
  10. B

    Shift from SW to Chip designing

    If you really love ASIC Field (that's what I feel), so ... nothing is so hard & nothing is boring :) Me ? Maybe becouse I works with network protocols most of the time :( while I want something more "art" like speech recognization or image processing or mp3 or sumthin else ... :D Maybe coz my...
  11. B

    Call TCL tasks inside Testbench ?

    I know I'll receive another warning by this thank-post. But I have to say the doc is very helpful to me. It's exacly what I'm looking for ! Thanks a lot, aji_vlsi !!!
  12. B

    Call TCL tasks inside Testbench ?

    testbench using verilog tasks .pdf Hi all, I have a short question : How to call a tcl task inside testbench ? I created tcl lib & I can call it from cmdline (interactive mode) but it seems not able to call these task inside testbench (Like PLI system task). Is there any way ? (I'm using Verilog)
  13. B

    Shift from SW to Chip designing

    First off, I'm sure you that you can easily move to ASIC Filed if you used to be a software engineer (Especially if you familiar with Firmware, MicroP ...) Programming for ASIC is not so far from works of a solfware engineer. You just need good tools to practice in the early days. Book is just...

Part and Inventory Search

Back
Top