Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by bradyue

  1. B

    waht is TSMC MRK* cell?

    Is there anybody know? And what is +3nm?
  2. B

    Does anybody know the difference between Siware and STAR mem

    Does anybody know the difference between Siware and STAR memories?
  3. B

    Verdi error: include file "define1.vh" cannot be read "/y/yy/define2.vh", 1:"

    Verdi error: include file "define1.vh" cannot be read "/y/yy/define2.vh", 1:" when i use the filelist, including /x/xx/define1.vh, /y/yy/define2.vh, /z/zz/*.v... but verdi print a lot of errors, such as "*Error* `include file "define1.vh" cannot be read "/y/yy/define2.vh", 1:" could anybody be...
  4. B

    ncsim dump fsdb problem - help needed

    ncsim dump fsdb problem when I add ////////////////////////////////////////////////////////////// initial begin $fsdbDumpfile("./cosim.fsdb"); $fsdbDumpvars(0, top); $fsdbDumpon; #Length $fsdbDumpoff; $display("cosim success"); $finish; end...
  5. B

    Does SCL, SDA input need to filter potential giltch or noise

    i2c glitch Dose SCL, SDA input need to filter the potential giltch or noise? i saw some design do that...
  6. B

    NCSIM error: Unable to allocate memory for transition file variable table.

    ncsim> probe -create -shm -database $vec Whole_Chip -depth all -all -memories ERROR: Unable to allocate memory for transition file variable table. ncsim: *E,SST2ER: SST2 interface error: Out of memory. ncsim: *E,SST2ER: SST2 interface error: Out of memory. does anybody know why?
  7. B

    ncxlmode in linux - some error happens, need help

    Re: ncxlmode help i tried remove INCA_lib and re-run...still....problem ncvlog: *F,DLNFS: Packed library for 'worklib' was either corrupt or the file system cache consistency check failed. To correct the problem, remove the packed library, and recompile. If the problem persists, contact...
  8. B

    ncxlmode in linux - some error happens, need help

    ncxlmode help when i am running ncxlmode in linux, some error happens: ncvlog: *F,DLNFS: Packed library for 'worklib' was either corrupt or the file system cache consistency check failed. To correct the problem, remove the packed library, and recompile. If the problem persists, contact Cadence...
  9. B

    A question about widespread library provider

    A question about Library Who can tell me the widespread library provider? I only know ARTISAN...
  10. B

    Bipolar vs CMOS? which kind of design for Bipolar Process?

    Bipolar vs CMOS? Biploar process is more fast, and more power consuming. COMS process is relatively slower, and less power consuming. My question is which kind of design should use Biploar process? analog ? I/O ?
  11. B

    question about FIFO depth

    yes, it it HDMI you are so brilliant so, 2-level is enough? write pointer is always ahead of read pointer? it that safe enough?
  12. B

    question about FIFO depth

    as I stated, in the read side, 4 data out of every 5 read clocks , like 0 1 2 3 x 0 1 2 3 x in the write side, every write clock per data, like 0 1 2 3 0 1 2 3 accoring to the freq ratio, the duration of 4 data write is equal to 5 data read.
  13. B

    question about FIFO depth

    I don't think they are the same case. The write clock is faster than read clock in your referred article. But in my design, write clock is slower than read clock.
  14. B

    question about FIFO depth

    the two clock has the same source
  15. B

    question about FIFO depth

    how to determine the depth of this FIFO? write clock is 40Mhz, read clcok is 50Mhz data is written into FIFO constantly and repeatly, like 0 1 2 3 0 1 2 3 data is read from FIFO repeatly, lie 0 1 2 3 x 0 1 2 3 x x means no read. that means every 4 write clock, data in and out of FIFO are the...

Part and Inventory Search

Back
Top