Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by billylee

  1. B

    how to initialize mipi rffe slave? set_input_delay

    Hi everyone I'll use different Input Pad for SDATA and SCLK. I think SCLK can be sampled with SDATA. because they have different delay time. If you have any good idea, please let me know. Thanks billy
  2. B

    how to initialize mipi rffe slave? set_input_delay

    hi everyone~ I need your help. I'm a newbie in the dc_shell, but I have question about set_input_delay. rffe_slave or any other interface need to be initialized. they said "please use SSC" signals that is data line. rffe has only 2-wire. generally SDATA generated from SCLK clock, so I did...
  3. B

    how to recognize `defined on tb_top.v to tb_sub.v on verdi compiler?

    hello everyone :) I have question on verdi compiler. Usually we use parameters with pre-processor keyword `define I defined on tb_top.v like this, `define TARGET_FPGA `ifdef TARGET_FPGA `define SBIT 8 `else `define SBIT 6 and I used parameter on tb_sub.v that defined on tb_top like this...
  4. B

    Questions about how to use casex in Verilog

    thank you for your reply :) also my coworker agree with you! and Merry christmas~!
  5. B

    Questions about how to use casex in Verilog

    The following is an example of the casex statement is this code ambiguous? Thank you! always @(*) begin casex (SumMsbSat1[16:13]) 4'b0000 : SumMsbSat3 = SumMsbSat1[13:0]; 4'b1111 : SumMsbSat3 = SumMsbSat1[13:0]; 4'b0xxx : SumMsbSat3 = {1'b0, {13{1'b1}}}...
  6. B

    ncsim 64bit option problem

    thanx very much Hung, i'll try it you recommended :)
  7. B

    ncsim 64bit option problem

    Hi, i have some problem on ncsim option. i set the waveform dump to fsdb format, $fsdbDumpfile("./sim_dump/ADC.fsdb"); irun // without -64bit result : no problem but, irun -64bit result : ncelab: *W,MISSYST (./tb/tb_top.v,153|32): Unrecognized system task or function (did not match built-in...
  8. B

    Verilog Generate statement to declare a `define

    hi, viju I've never seen something like this code. your code has 2 definition, 1 is parameter, 1 is interactive `define. and u combined it. how about change parameter to `define text1 and `ifdef text1 `define ONE1 and also there is no reason to define dual clock. have a good day~ :)
  9. B

    How can i verify RTL vs FPGA netlist with Confirmal LEC?

    hello everyone. i have some problem to compare RTL vs xilinx netlist. when i convert to netlist, there is some unexpected changing name on netlist. anyone have an experience in this job? BR. billylee
  10. B

    how to unset set_false_path

    thanks a lot :) I found good answer on this site such as 'A good paper to check out is "Complex Clocking Situations Using PrimeTime". Just google that title. ' and solvenet Key word : set_clock_groups...
  11. B

    how to unset set_false_path

    there are many clocks on my design clk0 - clk50 so i want to set_false_path between all clocks set clock_list [list clk0 clk1 .. clk50] foreach each_clock1 $clock_list { foreach each_clock2 $clock_list { if { $each_clock1 != $each_clock2 } { set_false_path -from...
  12. B

    Help small size memories vs register

    hello everyone i have to select some kind of memory that is 3x256x10 (depth*bw*ea) what is best consideration for select between mem vs register?
  13. B

    Books and papers regarding STA

    STA Books thax a lot have a gooday !!
  14. B

    Books and papers regarding STA

    STA Books dear shitansh 'Such file does not exist or it has been removed for infringement of copyrights. '
  15. B

    verdi compiling error - mixed vhdl

    hello ljxpjpjljx thanks to your reply but I'm wondering about linking skill for mixed structure not -sv option, -sv option is needless at this source code, sorry for your confusing :) but thanx a lot

Part and Inventory Search

Back
Top