Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
Q1.What is AMBA, Why ARM define AMBA , any documeny about the history
of AMBA?
Q2.Who is the father of ARM? any article / ebook about the history of ARM?
Q3.Why most IP use AMBA, define M/S can get waht kind benefit for SOC
design?
Q4.If AMBA also define for bust RAM access, then what's...
Q1. What's the max gate number (limit) for DC(can handle ) and for RCC(can handle )?
Q2. I start to use RC compiler(Encounter RTL Compiler ), anyone can share the
RC compiler training material/tutorial (with lab is better)?
tks in advance!
setenv in verilog makefile
Q1:
In NC
if ncvhdl
ncverilog
is ok
but
ncelab with error **broken link removed**
Here is my run procesure
/==========
ncvhdl -work work ./fa.vhd ==> OK
ncvlog -work work ./adder4.v ==> OK
ncvhdl -work work ./testfixture.vhd ==>...
nc vhdl fsdb
thanks to wadaye :
but my problem is in my IC, some IP is VHDL
some is verilog
I need add my module and integrated those IP
How to generate mix(vhdl+verilog) fsdb?
I see so run_script
use
ncsim .... input dunp.tcl
but I dont know TCL
Is there any document for reference??
ncvhdl
Question:
How to generate fsdb in ncvhdl/ncverilog(v5.4)?
pls give the detail commend (how to call novas/verdi pli?) under rethatlinux
enviroment?
tks in advance!
here is the error message
without +v2k argument
//=================
Error-[V2KS] Verilog 2000 IEEE 1364-2000 syntax used. Please compile with +v2k
to support this construct
multidimensional select.
"./model/aa.inc", 11
//=================
with +v2k argument...
vcs invalid bounds count
it's a simulation model,
two dimension array can let simulate more convinence
it's inside a task :
//===========
reg [32:0]mem[127:0];
reg [127:0]databuf;
task a;
input
output
int i;
begin i=0
@(.....)
repeat(33) begin...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.