Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by aria62

  1. aria62

    Tessent scan insertion library

    Thank you Ranaya. The format of the .lib file available in freepdk45 library is different from the .lib needed in Tessent. To solve the problem, I converted the available stdcells.v file to a model library using libcopm and then I modified it manually to obtain such a definition: model...
  2. aria62

    Tessent scan insertion library

    Hi. I have created a gate-level netlist from my design using Synopsys DC. Now I am trying to do the scan insertion in the Tessent shell. I assume that after reading the Verilog netlist, Tessent needs to read a library file with the .lib extension. From an example, I noticed that this library is...
  3. aria62

    pulse detector circuit

    In fact, the second FF works as a delay component. you can connect its output to the reset input of the first FF.
  4. aria62

    pulse detector circuit

    you can detect it with a d-latch or d-flip flop in which the D input is connected to '1' and pulse input is connected to clock pin.with an incomming pulse, output goes high.you can use another FF to reset the first FF and make it ready for another incomming pulse.
  5. aria62

    Libraries in VLSI design

    let's make it easy: In front end (for example:synopsys design vision) you need at least 2 technology files: 1. a .db file for link and target library. 2. a .sdb file for symbol library. in back end (for example soc encounter) you need at least these files: 1. timing libraries(.lib files)...
  6. aria62

    How to assign vectors to array in VHDL

    1. The code you wrote dosen't create a delay at all. before you use the expression "count<10000000" you should make the count signal to increase with every clock edge.in other words you should make a clock counter. 2.it seems that the error is because of a type mismatch. please send line 246...
  7. aria62

    Can I use array in VHDL

    hi, first of all if your array has two rows, correct your range: TYPE matrix IS ARRAY (0 TO 1) OF STD_LOGIC_VECTOR (8 DOWNTO 0); the other point is cm and cm2 have to be of type std_logic_vector(8 DOWNTO 0) good luck,
  8. aria62

    standard cell placement using Cadence SoC Encounter

    Does anybody know Synopsys Design Vision library and SoC Encounter lef files which match together?
  9. aria62

    standard cell placement using Cadence SoC Encounter

    Dear friends, I'm trying to create layout using SoC Encounter. these are the steps i follow: 1.loading my vhdl code into synopsys design vision 2.compiling the design using "lsi10k" library as link, target and symbol library. 3.creating verilog gate level netlist and sdc file. 4.loading verilog...
  10. aria62

    Can I use array in VHDL

    hi, you can first specify a new array type using this syntax: TYPE type_name IS ARRAY (specification) OF data_type; then you can make use of the new array by this code: SIGNAL signal_name: type_name [:= initial_value]; EXAMPLE for saving 6 bytes:=============================== TYPE matrix...
  11. aria62

    TSMC 0.20u CMOS018 (6M, HV FET, sblock)

    thank you for lib files. Could anybody share a lef file for soc encounter as well?My file is corrupted.A working lef file would solve all my problems :wink: Thanks in advance
  12. aria62

    TSMC 0.20u CMOS018 (6M, HV FET, sblock)

    Dear isaachnewton, Thank you for the files. I need LEF file for soc encounter place and route as well.would you please upload it here? regards
  13. aria62

    Problem installing Cadence SOC Encounter

    Dear lohi21, I have soc encounter version 8.1 and 9.1 with hotfix and their cracks. i can icstall it using iscape, but i have so many problems with cracking issue.it realy exhausted me.would you please give me a step by step guide for this problem. regards
  14. aria62

    How to generate a layout from architecture implemented using VHDL?

    Hi, you can import your VHDL code in "Synopsys Design Compiler" and create a structural netlist. Then export this netlist to "Cadence SOC encounter" to generate a layout. regards
  15. aria62

    I want to learn P&R and PV process ,could you please help me download the EDA tool

    Re: I want to learn P&R and PV process ,could you please help me download the EDA too Dear shuang, Have a look at this address : https://www.sonsivri.to/forum/index.php?topic=39146.0 In This site you will find everything you need. regards

Part and Inventory Search

Back
Top