Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by amisin

  1. A

    Problem with my Mouse Connectivity (in USB Ports).

    I mean, when I want to make the mouse work, I just take out the adapter for few seconds (from laptop) so it stays logged in, then the mouse works fine, and (as my battery doesn't give backup for even that long) I have to plug back the adapter, then mouse stops working. Restarting the laptop...
  2. A

    Problem with my Mouse Connectivity (in USB Ports).

    I do not understand the simple fact, how does it works correctly on removing the supply power? Otherwise mouse is compatible with it that's why it works, whenever the power is not plugged in.
  3. A

    Problem with my Mouse Connectivity (in USB Ports).

    Yeah I am sure that it is not the mouse, as I have tried two new mouses, thinking that it is the mouse problem, also sometimes, my printer port also does problem while connecting (works in short periods only). Do you have any idea now, what can be the problem?
  4. A

    Problem with my Mouse Connectivity (in USB Ports).

    I am in a bit of problem, after few days of problem with my mouse connectivity in laptop (Compaq C765tu). All of a sudden all three of my ports stopped working for the mouse, or rather say USB ports. Then I checked on internet for any possible solutions, one which I got was, remove power supply...
  5. A

    Xilinx: 1312 Error: Why I am getting this error, I've changed iteration counter too.

    I am trying to implement a simple function, using while, for and case statements, even as my loop runs no more that 5 times, and gives no compilation, simulation errors. But when I synthesize this using Xilinx 6.1 ISE, it gives me the 1312 error. ERROR:Xst:1312 - Loop has iterated 64 times. Use...
  6. A

    Working with strings and characters in VHDL.

    I have to access each element of a string via a character. So for that I will be using a for loop, but how do we access a specific element of a string. like for string str(1 to 8), if I wish to assign, str(4) to the character variable chr, how exactly we do that? for loopcounter in 0 to 63...
  7. A

    Help: Error in my VHDL Code(Working with arrays)

    Thanks FvM, I have corrected the code as: CODE VHDL: -------------------------------------------------------- -------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all...
  8. A

    Help: Error in my VHDL Code(Working with arrays)

    I am new to VHDL programming, and I have written this code: -------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------- entity...
  9. A

    Help Regarding Image processing in VHDL or any other HDL Language.

    Gongdori: Actually I am not having the netlist, I am having only the MATLAB (*.m) file code, and I want to generate hardware for that in VHDL. :-)
  10. A

    Help Regarding Image processing in VHDL or any other HDL Language.

    Thanks gongdori and graphene for your replies. Actually there is a toolbox, called Simulink HDL Coder in Matlab r2012b, I was working with that, in that we can directly convert the simulink(*.mdl) model into *.vhd file(which provides facility for generating test bench also). There is one more...
  11. A

    Help Regarding Image processing in VHDL or any other HDL Language.

    I am doing a project on Image Encryption in MATLAB, now further I have to generate hardware for that matlab file(*.m) by using VHDL or any other HDL. I want help regarding how to work with images (taking input, operations on pixels, and output), in VHDL. If anyone can provide info regarding...
  12. A

    Depletion Capacitance in a Diode

    Thanks srikanth1555, it was helpful. debjit625, I didn't need it for any purpose, it just came out of curiosity, that when we can neglect one in front of the other, so for this I needed some practical values of both of them.
  13. A

    Depletion Capacitance in a Diode

    Can anyone please tell about the typical (practical) values of depletion capacitance in forward and reverse bias, and also of diffusion capacitance in forward bias? Thanks in Advance
  14. A

    Junction-Diode Switching Times

    Thanks everyone, it was really helpful in clearing doubts about the Switching. :-)
  15. A

    Junction-Diode Switching Times

    @DoctorProf: I could not find anything helpful on that link, can you please be specific, about your link. I wished to know about the process occurring while we change the biasing of a diode suddenly from forward to reverse.

Part and Inventory Search

Back
Top