Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Alka Arora

  1. A

    instansiation in VHDL function

    Hi, I have a multiplier in my VHDL function.Instead of using multiplier operator I want to instatiate the multiplier in a function.For example FUNCTION xyz(xxxxxxxxxxxx) RETURN STD_LOGIC IS ::: ::: VARIABLE mult : STD_LOGIC_VECTOR(LENGTH DOWNTO 0); BEGIN I want to replace ...
  2. A

    convert verilog to signed bit vector in verilog

    Hi, How can I convert integer type to signed bit vector in verilog? Thanks
  3. A

    verilog signed type conversion

    Thanks so much.I have a VHDL function FUNCTION "-" (L: STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN RETURN - SIGNED(L); END; FUNCTION "ABs" (L: STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN RETURN ABs (SIGNED(L)); END; I want to generate similiar logic in verilog...
  4. A

    verilog signed type conversion

    Hi , In VHDL we have a function to convert std_logic_vector to signed data type.Do we have anything like that in verilog to convert in to signed type in verilog. for example i have wire [8:0] diff; I want to convert it into absolute value. Thanks Alka
  5. A

    verilog parsing error

    Hi , The reg [5*64-1:0] worked.I still have to compare the results. Thanks
  6. A

    verilog parsing error

    It should be reg [5*64:0] or [5*63:0] ?
  7. A

    verilog parsing error

    I am getting "error: Multiple words referenced for memory Variable " in both the cases.
  8. A

    verilog parsing error

    Hi, Thanks.I get same error.I believe I need to make one signal of 5*64 .How Can I do that ?
  9. A

    verilog parsing error

    Hi , I am getting following error for this reg. reg [63:0] data_vec[0:4]; / Error: PARSE_ERROR: Parsing syntax error // Multiple words referenced for memory Variable 'data _vec' near token '(' How can I get rid of this error.How can I declare 5*64 bit vector? Thanks Alka
  10. A

    verilog error Multiple words referenced for memory Variable

    Hi, I have declared a reg as reg [63:0] data_vec[0:4]; I am getting parsing error Multiple words referenced for memory Variable How can I make one signal of 5*64 ,so that this error does not come? Thanks
  11. A

    verilog function to extend bits on left

    Hi, Could you please writing a verilog function to extend the bits in left. For example if a = 4'b1100; b = 5 The output should be out = extend ( a,b) should be equal to 01100; Thanks
  12. A

    convert vhdl function in to verilog function

    Hi , I need to convert this VHDL function in to verilog:Could you please help. -------------------------------------------------------------------------------------------------- FUNCTION EXT (ARGUMENT: STD_LOGIC_VECTOR; SIZE: NATURAL) RETURN STD_LOGIC_VECTOR IS VARIABLE RS...

Part and Inventory Search

Back
Top