Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by addn

  1. A

    Looking for the algorithm of radix4 divider

    hi Can anyone provide the algorithm of radix4 divider?? thanks.
  2. A

    Help me modify divide by 2 counter code to divide by 4 counter

    divide by 2 counter signal q : std_logic_vector(1 downto 0); ................ begin process(clk_i,reset) begin if (reset = '0') then q <="00"; elsif (clk_i'event and clk_i = '1') then q <=q+1; end if; end process ; clk_div2 <= q(0); clk_div4 <= q(1);
  3. A

    How to decide on counting direction in a clock?

    counting direction hi,anoop12 had you try my way in your last post"dual clock counter"?
  4. A

    Errors in dual clock counter code in VHDL

    dual clock counter hi, about the flag you need two negative edge D-FF with asynchronous reset D-FF1:D<->'1',CLK<->I_UP,/RESET<->I_DOWN D-FF2:D<->'1',CLK<->I_DOWN,/RESET<->I_UP and then up_down_flag<=Q1 AND NOT Q2;
  5. A

    Errors in dual clock counter code in VHDL

    Re: dual clock counter hi, you can try that add internal node signal up_down_clk:std_logic; signal up_down_flag:std_logic; then up_down_clk<=I_UP AND I_DOWN; up_down_flag<=............................... process(up_down_clk,I_CLR,I_LOAD_N,up_down_flag) begin if (I_CLR='1') then...
  6. A

    I2C slave code needed

    hi the FPGA4fun can give you some ideal and concept with how to get start a simple i2c slave. if you realize it,you can write the code all your own.
  7. A

    I2C slave code needed

    hi https://www.fpga4fun.com/
  8. A

    Looking for VHDL or Verilog code for 8259a interrupt controller

    Re: 8259a hi i need 8259 vhdl code,too but i can not find code on www.opencores.org please tell me how to find it or search it on that sit thanks
  9. A

    What does tCL parameter mean in 8254 ?

    hi, what does 8254 parameter tCL mean? can we set lock command or read back to latch count at period of tCL min~max? what is the structure of OL(output latch),does it synchr to clk? thanks
  10. A

    Help needed in Parallel CRC implementation in VHDL(urgent)

    pck_crc32_d8 hi please reference following link https://www.easics.be/webtools/crctool
  11. A

    pronunciation question

    hi, I type "q-u-a-r-t-u-s" but the EDAboard system auto chang to qu(at)rtus thanks
  12. A

    pronunciation question

    hi, what are the pronunciation of "Quartus" and "Avalon"? thanks
  13. A

    NIOS2 avalon bus user peripherals question

    avalon nios2 hi, i have completed a simple test of 8 bit output port (Custom Peripherals) and embedded in NIOS2 system how to access it by NIOS2 IDE C thanks
  14. A

    AT29C256 5V Chip Erase

    hi budhy I have another question between (prgram mode) and (5V chip erase mode). from following table the /CE,/OE,/WE,Ai of two mode are the same. how does it to recognize (prgram mode) or (5V chip erase mode) thanks
  15. A

    AT29C256 5V Chip Erase

    at29c256 chip erase Hi can anyone tell me how to use 8051 erase the AT29C256 by (5V Chip Erase) mode thanks

Part and Inventory Search

Back
Top